get:
Show a patch.

patch:
Update a patch.

put:
Update a patch.

GET /api/patches/53585/?format=api
HTTP 200 OK
Allow: GET, PUT, PATCH, HEAD, OPTIONS
Content-Type: application/json
Vary: Accept

{
    "id": 53585,
    "url": "http://patches.dpdk.org/api/patches/53585/?format=api",
    "web_url": "http://patches.dpdk.org/project/dpdk/patch/20190521161315.25500-14-olivier.matz@6wind.com/",
    "project": {
        "id": 1,
        "url": "http://patches.dpdk.org/api/projects/1/?format=api",
        "name": "DPDK",
        "link_name": "dpdk",
        "list_id": "dev.dpdk.org",
        "list_email": "dev@dpdk.org",
        "web_url": "http://core.dpdk.org",
        "scm_url": "git://dpdk.org/dpdk",
        "webscm_url": "http://git.dpdk.org/dpdk",
        "list_archive_url": "https://inbox.dpdk.org/dev",
        "list_archive_url_format": "https://inbox.dpdk.org/dev/{}",
        "commit_url_format": ""
    },
    "msgid": "<20190521161315.25500-14-olivier.matz@6wind.com>",
    "list_archive_url": "https://inbox.dpdk.org/dev/20190521161315.25500-14-olivier.matz@6wind.com",
    "date": "2019-05-21T16:13:13",
    "name": "[13/15] net: add rte prefix to tcp structure",
    "commit_ref": null,
    "pull_url": null,
    "state": "accepted",
    "archived": true,
    "hash": "14d4e523b18681c5aa243e292d0a2c2eb8951601",
    "submitter": {
        "id": 8,
        "url": "http://patches.dpdk.org/api/people/8/?format=api",
        "name": "Olivier Matz",
        "email": "olivier.matz@6wind.com"
    },
    "delegate": {
        "id": 319,
        "url": "http://patches.dpdk.org/api/users/319/?format=api",
        "username": "fyigit",
        "first_name": "Ferruh",
        "last_name": "Yigit",
        "email": "ferruh.yigit@amd.com"
    },
    "mbox": "http://patches.dpdk.org/project/dpdk/patch/20190521161315.25500-14-olivier.matz@6wind.com/mbox/",
    "series": [
        {
            "id": 4733,
            "url": "http://patches.dpdk.org/api/series/4733/?format=api",
            "web_url": "http://patches.dpdk.org/project/dpdk/list/?series=4733",
            "date": "2019-05-21T16:13:01",
            "name": "prefix network structures",
            "version": 1,
            "mbox": "http://patches.dpdk.org/series/4733/mbox/"
        }
    ],
    "comments": "http://patches.dpdk.org/api/patches/53585/comments/",
    "check": "success",
    "checks": "http://patches.dpdk.org/api/patches/53585/checks/",
    "tags": {},
    "related": [],
    "headers": {
        "Return-Path": "<dev-bounces@dpdk.org>",
        "X-Original-To": "patchwork@dpdk.org",
        "Delivered-To": "patchwork@dpdk.org",
        "Received": [
            "from [92.243.14.124] (localhost [127.0.0.1])\n\tby dpdk.org (Postfix) with ESMTP id 73D727CE2;\n\tTue, 21 May 2019 18:13:55 +0200 (CEST)",
            "from proxy.6wind.com (host.76.145.23.62.rev.coltfrance.com\n\t[62.23.145.76]) by dpdk.org (Postfix) with ESMTP id C10974C96\n\tfor <dev@dpdk.org>; Tue, 21 May 2019 18:13:25 +0200 (CEST)",
            "from glumotte.dev.6wind.com. (unknown [10.16.0.195])\n\tby proxy.6wind.com (Postfix) with ESMTP id A0A702B83E0;\n\tTue, 21 May 2019 18:13:25 +0200 (CEST)"
        ],
        "From": "Olivier Matz <olivier.matz@6wind.com>",
        "To": "dev@dpdk.org",
        "Cc": "stephen@networkplumber.org,\n\tferruh.yigit@intel.com",
        "Date": "Tue, 21 May 2019 18:13:13 +0200",
        "Message-Id": "<20190521161315.25500-14-olivier.matz@6wind.com>",
        "X-Mailer": "git-send-email 2.11.0",
        "In-Reply-To": "<20190521161315.25500-1-olivier.matz@6wind.com>",
        "References": "<20190410083218.17531-1-olivier.matz@6wind.com>\n\t<20190521161315.25500-1-olivier.matz@6wind.com>",
        "Subject": "[dpdk-dev] [PATCH 13/15] net: add rte prefix to tcp structure",
        "X-BeenThere": "dev@dpdk.org",
        "X-Mailman-Version": "2.1.15",
        "Precedence": "list",
        "List-Id": "DPDK patches and discussions <dev.dpdk.org>",
        "List-Unsubscribe": "<https://mails.dpdk.org/options/dev>,\n\t<mailto:dev-request@dpdk.org?subject=unsubscribe>",
        "List-Archive": "<http://mails.dpdk.org/archives/dev/>",
        "List-Post": "<mailto:dev@dpdk.org>",
        "List-Help": "<mailto:dev-request@dpdk.org?subject=help>",
        "List-Subscribe": "<https://mails.dpdk.org/listinfo/dev>,\n\t<mailto:dev-request@dpdk.org?subject=subscribe>",
        "Errors-To": "dev-bounces@dpdk.org",
        "Sender": "\"dev\" <dev-bounces@dpdk.org>"
    },
    "content": "Add 'rte_' prefix to structures:\n- rename struct tcp_hdr as struct rte_tcp_hdr.\n\nSigned-off-by: Olivier Matz <olivier.matz@6wind.com>\n---\n app/test-pmd/csumonly.c                        | 14 ++++++++------\n app/test/packet_burst_generator.c              | 10 +++++-----\n app/test/packet_burst_generator.h              |  2 +-\n app/test/test_flow_classify.c                  |  6 +++---\n doc/guides/sample_app_ug/flow_classify.rst     |  4 ++--\n drivers/net/bonding/rte_eth_bond_pmd.c         |  6 +++---\n drivers/net/dpaa/dpaa_rxtx.c                   |  2 +-\n drivers/net/e1000/em_rxtx.c                    |  2 +-\n drivers/net/e1000/igb_rxtx.c                   |  3 ++-\n drivers/net/ena/ena_ethdev.c                   |  2 +-\n drivers/net/enic/enic_clsf.c                   |  8 ++++----\n drivers/net/enic/enic_flow.c                   |  8 ++++----\n drivers/net/i40e/i40e_fdir.c                   | 20 ++++++++++----------\n drivers/net/i40e/i40e_rxtx.c                   |  2 +-\n drivers/net/iavf/iavf_rxtx.c                   |  2 +-\n drivers/net/ice/ice_rxtx.c                     |  2 +-\n drivers/net/ixgbe/ixgbe_rxtx.c                 |  3 ++-\n drivers/net/mlx5/mlx5_flow.c                   |  8 ++++----\n drivers/net/mlx5/mlx5_flow_tcf.c               |  4 ++--\n drivers/net/qede/qede_filter.c                 | 12 ++++++------\n drivers/net/sfc/sfc_ef10_tx.c                  |  4 ++--\n drivers/net/sfc/sfc_tso.c                      |  4 ++--\n drivers/net/softnic/rte_eth_softnic_pipeline.c |  8 ++++----\n drivers/net/tap/rte_eth_tap.c                  |  2 +-\n drivers/net/virtio/virtio_rxtx.c               |  4 ++--\n drivers/net/vmxnet3/vmxnet3_rxtx.c             | 15 ++++++++-------\n examples/flow_classify/flow_classify.c         |  4 ++--\n examples/ip_pipeline/pipeline.c                |  8 ++++----\n examples/l3fwd-power/main.c                    |  8 ++++----\n examples/l3fwd-vf/main.c                       |  4 ++--\n examples/tep_termination/vxlan.c               |  4 ++--\n examples/vhost/main.c                          |  4 ++--\n lib/librte_ethdev/rte_flow.h                   |  2 +-\n lib/librte_gro/gro_tcp4.c                      |  4 ++--\n lib/librte_gro/gro_tcp4.h                      | 10 +++++-----\n lib/librte_gro/gro_vxlan_tcp4.c                |  6 +++---\n lib/librte_gso/gso_common.h                    |  4 ++--\n lib/librte_gso/gso_tcp4.c                      |  4 ++--\n lib/librte_gso/gso_tunnel_tcp4.c               |  4 ++--\n lib/librte_gso/rte_gso.h                       |  2 +-\n lib/librte_net/rte_net.c                       |  8 ++++----\n lib/librte_net/rte_net.h                       |  7 ++++---\n lib/librte_net/rte_tcp.h                       |  2 +-\n lib/librte_pipeline/rte_table_action.c         |  8 ++++----\n lib/librte_vhost/virtio_net.c                  |  6 +++---\n 45 files changed, 131 insertions(+), 125 deletions(-)",
    "diff": "diff --git a/app/test-pmd/csumonly.c b/app/test-pmd/csumonly.c\nindex 1df83477e..2133dfc49 100644\n--- a/app/test-pmd/csumonly.c\n+++ b/app/test-pmd/csumonly.c\n@@ -102,14 +102,15 @@ get_udptcp_checksum(void *l3_hdr, void *l4_hdr, uint16_t ethertype)\n static void\n parse_ipv4(struct rte_ipv4_hdr *ipv4_hdr, struct testpmd_offload_info *info)\n {\n-\tstruct tcp_hdr *tcp_hdr;\n+\tstruct rte_tcp_hdr *tcp_hdr;\n \n \tinfo->l3_len = (ipv4_hdr->version_ihl & 0x0f) * 4;\n \tinfo->l4_proto = ipv4_hdr->next_proto_id;\n \n \t/* only fill l4_len for TCP, it's useful for TSO */\n \tif (info->l4_proto == IPPROTO_TCP) {\n-\t\ttcp_hdr = (struct tcp_hdr *)((char *)ipv4_hdr + info->l3_len);\n+\t\ttcp_hdr = (struct rte_tcp_hdr *)\n+\t\t\t((char *)ipv4_hdr + info->l3_len);\n \t\tinfo->l4_len = (tcp_hdr->data_off & 0xf0) >> 2;\n \t} else if (info->l4_proto == IPPROTO_UDP)\n \t\tinfo->l4_len = sizeof(struct udp_hdr);\n@@ -121,14 +122,15 @@ parse_ipv4(struct rte_ipv4_hdr *ipv4_hdr, struct testpmd_offload_info *info)\n static void\n parse_ipv6(struct rte_ipv6_hdr *ipv6_hdr, struct testpmd_offload_info *info)\n {\n-\tstruct tcp_hdr *tcp_hdr;\n+\tstruct rte_tcp_hdr *tcp_hdr;\n \n \tinfo->l3_len = sizeof(struct rte_ipv6_hdr);\n \tinfo->l4_proto = ipv6_hdr->proto;\n \n \t/* only fill l4_len for TCP, it's useful for TSO */\n \tif (info->l4_proto == IPPROTO_TCP) {\n-\t\ttcp_hdr = (struct tcp_hdr *)((char *)ipv6_hdr + info->l3_len);\n+\t\ttcp_hdr = (struct rte_tcp_hdr *)\n+\t\t\t((char *)ipv6_hdr + info->l3_len);\n \t\tinfo->l4_len = (tcp_hdr->data_off & 0xf0) >> 2;\n \t} else if (info->l4_proto == IPPROTO_UDP)\n \t\tinfo->l4_len = sizeof(struct udp_hdr);\n@@ -367,7 +369,7 @@ process_inner_cksums(void *l3_hdr, const struct testpmd_offload_info *info,\n {\n \tstruct rte_ipv4_hdr *ipv4_hdr = l3_hdr;\n \tstruct udp_hdr *udp_hdr;\n-\tstruct tcp_hdr *tcp_hdr;\n+\tstruct rte_tcp_hdr *tcp_hdr;\n \tstruct rte_sctp_hdr *sctp_hdr;\n \tuint64_t ol_flags = 0;\n \tuint32_t max_pkt_len, tso_segsz = 0;\n@@ -421,7 +423,7 @@ process_inner_cksums(void *l3_hdr, const struct testpmd_offload_info *info,\n \t\tif (info->gso_enable)\n \t\t\tol_flags |= PKT_TX_UDP_SEG;\n \t} else if (info->l4_proto == IPPROTO_TCP) {\n-\t\ttcp_hdr = (struct tcp_hdr *)((char *)l3_hdr + info->l3_len);\n+\t\ttcp_hdr = (struct rte_tcp_hdr *)((char *)l3_hdr + info->l3_len);\n \t\ttcp_hdr->cksum = 0;\n \t\tif (tso_segsz)\n \t\t\tol_flags |= PKT_TX_TCP_SEG;\ndiff --git a/app/test/packet_burst_generator.c b/app/test/packet_burst_generator.c\nindex ab65c3f07..0faa9ed16 100644\n--- a/app/test/packet_burst_generator.c\n+++ b/app/test/packet_burst_generator.c\n@@ -109,14 +109,14 @@ initialize_udp_header(struct udp_hdr *udp_hdr, uint16_t src_port,\n }\n \n uint16_t\n-initialize_tcp_header(struct tcp_hdr *tcp_hdr, uint16_t src_port,\n+initialize_tcp_header(struct rte_tcp_hdr *tcp_hdr, uint16_t src_port,\n \t\tuint16_t dst_port, uint16_t pkt_data_len)\n {\n \tuint16_t pkt_len;\n \n-\tpkt_len = (uint16_t) (pkt_data_len + sizeof(struct tcp_hdr));\n+\tpkt_len = (uint16_t) (pkt_data_len + sizeof(struct rte_tcp_hdr));\n \n-\tmemset(tcp_hdr, 0, sizeof(struct tcp_hdr));\n+\tmemset(tcp_hdr, 0, sizeof(struct rte_tcp_hdr));\n \ttcp_hdr->src_port = rte_cpu_to_be_16(src_port);\n \ttcp_hdr->dst_port = rte_cpu_to_be_16(dst_port);\n \n@@ -396,7 +396,7 @@ generate_packet_burst_proto(struct rte_mempool *mp,\n \t\t\t\tbreak;\n \t\t\tcase IPPROTO_TCP:\n \t\t\t\tcopy_buf_to_pkt(proto_hdr,\n-\t\t\t\t\tsizeof(struct tcp_hdr), pkt,\n+\t\t\t\t\tsizeof(struct rte_tcp_hdr), pkt,\n \t\t\t\t\teth_hdr_size +\n \t\t\t\t\t\tsizeof(struct rte_ipv4_hdr));\n \t\t\t\tbreak;\n@@ -421,7 +421,7 @@ generate_packet_burst_proto(struct rte_mempool *mp,\n \t\t\t\tbreak;\n \t\t\tcase IPPROTO_TCP:\n \t\t\t\tcopy_buf_to_pkt(proto_hdr,\n-\t\t\t\t\tsizeof(struct tcp_hdr), pkt,\n+\t\t\t\t\tsizeof(struct rte_tcp_hdr), pkt,\n \t\t\t\t\teth_hdr_size +\n \t\t\t\t\t\tsizeof(struct rte_ipv6_hdr));\n \t\t\t\tbreak;\ndiff --git a/app/test/packet_burst_generator.h b/app/test/packet_burst_generator.h\nindex 6a9d4dce8..16c21ddd7 100644\n--- a/app/test/packet_burst_generator.h\n+++ b/app/test/packet_burst_generator.h\n@@ -39,7 +39,7 @@ initialize_udp_header(struct udp_hdr *udp_hdr, uint16_t src_port,\n \t\tuint16_t dst_port, uint16_t pkt_data_len);\n \n uint16_t\n-initialize_tcp_header(struct tcp_hdr *tcp_hdr, uint16_t src_port,\n+initialize_tcp_header(struct rte_tcp_hdr *tcp_hdr, uint16_t src_port,\n \t\tuint16_t dst_port, uint16_t pkt_data_len);\n \n uint16_t\ndiff --git a/app/test/test_flow_classify.c b/app/test/test_flow_classify.c\nindex d228ccc10..30c0fb029 100644\n--- a/app/test/test_flow_classify.c\n+++ b/app/test/test_flow_classify.c\n@@ -74,7 +74,7 @@ static struct rte_acl_field_def ipv4_defs[NUM_FIELDS_IPV4] = {\n \t\t.input_index = SRCP_DESTP_INPUT_IPV4,\n \t\t.offset = sizeof(struct rte_ether_hdr) +\n \t\t\tsizeof(struct rte_ipv4_hdr) +\n-\t\t\toffsetof(struct tcp_hdr, src_port),\n+\t\t\toffsetof(struct rte_tcp_hdr, src_port),\n \t},\n \t{\n \t\t/* rte_flow uses a bit mask for protocol ports */\n@@ -84,7 +84,7 @@ static struct rte_acl_field_def ipv4_defs[NUM_FIELDS_IPV4] = {\n \t\t.input_index = SRCP_DESTP_INPUT_IPV4,\n \t\t.offset = sizeof(struct rte_ether_hdr) +\n \t\t\tsizeof(struct rte_ipv4_hdr) +\n-\t\t\toffsetof(struct tcp_hdr, dst_port),\n+\t\t\toffsetof(struct rte_tcp_hdr, dst_port),\n \t},\n };\n \n@@ -530,7 +530,7 @@ init_ipv4_tcp_traffic(struct rte_mempool *mp,\n {\n \tstruct rte_ether_hdr pkt_eth_hdr;\n \tstruct rte_ipv4_hdr pkt_ipv4_hdr;\n-\tstruct tcp_hdr pkt_tcp_hdr;\n+\tstruct rte_tcp_hdr pkt_tcp_hdr;\n \tuint32_t src_addr = IPV4_ADDR(1, 2, 3, 4);\n \tuint32_t dst_addr = IPV4_ADDR(5, 6, 7, 8);\n \tuint16_t src_port = 16;\ndiff --git a/doc/guides/sample_app_ug/flow_classify.rst b/doc/guides/sample_app_ug/flow_classify.rst\nindex 46703acbf..96a5c66d0 100644\n--- a/doc/guides/sample_app_ug/flow_classify.rst\n+++ b/doc/guides/sample_app_ug/flow_classify.rst\n@@ -126,7 +126,7 @@ initialisation of the ``Flow Classify`` application..\n             .input_index = SRCP_DESTP_INPUT_IPV4,\n             .offset = sizeof(struct rte_ether_hdr) +\n                 sizeof(struct rte_ipv4_hdr) +\n-                offsetof(struct tcp_hdr, src_port),\n+                offsetof(struct rte_tcp_hdr, src_port),\n         },\n         {\n              /* rte_flow uses a bit mask for protocol ports */\n@@ -136,7 +136,7 @@ initialisation of the ``Flow Classify`` application..\n              .input_index = SRCP_DESTP_INPUT_IPV4,\n              .offset = sizeof(struct rte_ether_hdr) +\n                  sizeof(struct rte_ipv4_hdr) +\n-                 offsetof(struct tcp_hdr, dst_port),\n+                 offsetof(struct rte_tcp_hdr, dst_port),\n         },\n     };\n \ndiff --git a/drivers/net/bonding/rte_eth_bond_pmd.c b/drivers/net/bonding/rte_eth_bond_pmd.c\nindex 40034d003..8766d4ef8 100644\n--- a/drivers/net/bonding/rte_eth_bond_pmd.c\n+++ b/drivers/net/bonding/rte_eth_bond_pmd.c\n@@ -840,7 +840,7 @@ burst_xmit_l34_hash(struct rte_mbuf **buf, uint16_t nb_pkts,\n \tint i;\n \n \tstruct udp_hdr *udp_hdr;\n-\tstruct tcp_hdr *tcp_hdr;\n+\tstruct rte_tcp_hdr *tcp_hdr;\n \tuint32_t hash, l3hash, l4hash;\n \n \tfor (i = 0; i < nb_pkts; i++) {\n@@ -866,7 +866,7 @@ burst_xmit_l34_hash(struct rte_mbuf **buf, uint16_t nb_pkts,\n \t\t\t\t\tRTE_IPV4_IHL_MULTIPLIER;\n \n \t\t\t\tif (ipv4_hdr->next_proto_id == IPPROTO_TCP) {\n-\t\t\t\t\ttcp_hdr = (struct tcp_hdr *)\n+\t\t\t\t\ttcp_hdr = (struct rte_tcp_hdr *)\n \t\t\t\t\t\t((char *)ipv4_hdr +\n \t\t\t\t\t\t\tip_hdr_offset);\n \t\t\t\t\tif ((size_t)tcp_hdr + sizeof(*tcp_hdr)\n@@ -888,7 +888,7 @@ burst_xmit_l34_hash(struct rte_mbuf **buf, uint16_t nb_pkts,\n \t\t\tl3hash = ipv6_hash(ipv6_hdr);\n \n \t\t\tif (ipv6_hdr->proto == IPPROTO_TCP) {\n-\t\t\t\ttcp_hdr = (struct tcp_hdr *)(ipv6_hdr + 1);\n+\t\t\t\ttcp_hdr = (struct rte_tcp_hdr *)(ipv6_hdr + 1);\n \t\t\t\tl4hash = HASH_L4_PORTS(tcp_hdr);\n \t\t\t} else if (ipv6_hdr->proto == IPPROTO_UDP) {\n \t\t\t\tudp_hdr = (struct udp_hdr *)(ipv6_hdr + 1);\ndiff --git a/drivers/net/dpaa/dpaa_rxtx.c b/drivers/net/dpaa/dpaa_rxtx.c\nindex 0901ca2d9..1cf7ee7f0 100644\n--- a/drivers/net/dpaa/dpaa_rxtx.c\n+++ b/drivers/net/dpaa/dpaa_rxtx.c\n@@ -219,7 +219,7 @@ static inline void dpaa_checksum(struct rte_mbuf *mbuf)\n \t\tipv6_hdr = (struct rte_ipv6_hdr *)l3_hdr;\n \n \tif ((mbuf->packet_type & RTE_PTYPE_L4_MASK) == RTE_PTYPE_L4_TCP) {\n-\t\tstruct tcp_hdr *tcp_hdr = (struct tcp_hdr *)(l3_hdr +\n+\t\tstruct rte_tcp_hdr *tcp_hdr = (struct rte_tcp_hdr *)(l3_hdr +\n \t\t\t\t\t  mbuf->l3_len);\n \t\ttcp_hdr->cksum = 0;\n \t\tif (eth_hdr->ether_type == htons(RTE_ETHER_TYPE_IPv4))\ndiff --git a/drivers/net/e1000/em_rxtx.c b/drivers/net/e1000/em_rxtx.c\nindex 30231cc38..ed78880d0 100644\n--- a/drivers/net/e1000/em_rxtx.c\n+++ b/drivers/net/e1000/em_rxtx.c\n@@ -249,7 +249,7 @@ em_set_xmit_ctx(struct em_tx_queue* txq,\n \t\tbreak;\n \tcase PKT_TX_TCP_CKSUM:\n \t\tctx.upper_setup.tcp_fields.tucso = (uint8_t)(ipcse +\n-\t\t\t\toffsetof(struct tcp_hdr, cksum));\n+\t\t\t\toffsetof(struct rte_tcp_hdr, cksum));\n \t\tcmd_len |= E1000_TXD_CMD_TCP;\n \t\tcmp_mask |= TX_MACIP_LEN_CMP_MASK;\n \t\tbreak;\ndiff --git a/drivers/net/e1000/igb_rxtx.c b/drivers/net/e1000/igb_rxtx.c\nindex e814a0050..98ed6e91d 100644\n--- a/drivers/net/e1000/igb_rxtx.c\n+++ b/drivers/net/e1000/igb_rxtx.c\n@@ -294,7 +294,8 @@ igbe_set_xmit_ctx(struct igb_tx_queue* txq,\n \t\tcase PKT_TX_TCP_CKSUM:\n \t\t\ttype_tucmd_mlhl |= E1000_ADVTXD_TUCMD_L4T_TCP |\n \t\t\t\tE1000_ADVTXD_DTYP_CTXT | E1000_ADVTXD_DCMD_DEXT;\n-\t\t\tmss_l4len_idx |= sizeof(struct tcp_hdr) << E1000_ADVTXD_L4LEN_SHIFT;\n+\t\t\tmss_l4len_idx |= sizeof(struct rte_tcp_hdr)\n+\t\t\t\t<< E1000_ADVTXD_L4LEN_SHIFT;\n \t\t\tbreak;\n \t\tcase PKT_TX_SCTP_CKSUM:\n \t\t\ttype_tucmd_mlhl |= E1000_ADVTXD_TUCMD_L4T_SCTP |\ndiff --git a/drivers/net/ena/ena_ethdev.c b/drivers/net/ena/ena_ethdev.c\nindex 47a263a1f..b6651fc0f 100644\n--- a/drivers/net/ena/ena_ethdev.c\n+++ b/drivers/net/ena/ena_ethdev.c\n@@ -75,7 +75,7 @@\n #define TEST_BIT(val, bit_shift) (val & (1UL << bit_shift))\n \n #define GET_L4_HDR_LEN(mbuf)\t\t\t\t\t\\\n-\t((rte_pktmbuf_mtod_offset(mbuf,\tstruct tcp_hdr *,\t\\\n+\t((rte_pktmbuf_mtod_offset(mbuf,\tstruct rte_tcp_hdr *,\t\\\n \t\tmbuf->l3_len + mbuf->l2_len)->data_off) >> 4)\n \n #define ENA_RX_RSS_TABLE_LOG_SIZE  7\ndiff --git a/drivers/net/enic/enic_clsf.c b/drivers/net/enic/enic_clsf.c\nindex f4d604a46..c6fb1a0f0 100644\n--- a/drivers/net/enic/enic_clsf.c\n+++ b/drivers/net/enic/enic_clsf.c\n@@ -136,7 +136,7 @@ copy_fltr_v2(struct filter_v2 *fltr, const struct rte_eth_fdir_input *input,\n \t\tenic_set_layer(gp, FILTER_GENERIC_1_UDP, FILTER_GENERIC_1_L4,\n \t\t\t       &udp_mask, &udp_val, sizeof(struct udp_hdr));\n \t} else if (input->flow_type == RTE_ETH_FLOW_NONFRAG_IPV4_TCP) {\n-\t\tstruct tcp_hdr tcp_mask, tcp_val;\n+\t\tstruct rte_tcp_hdr tcp_mask, tcp_val;\n \t\tmemset(&tcp_mask, 0, sizeof(tcp_mask));\n \t\tmemset(&tcp_val, 0, sizeof(tcp_val));\n \n@@ -150,7 +150,7 @@ copy_fltr_v2(struct filter_v2 *fltr, const struct rte_eth_fdir_input *input,\n \t\t}\n \n \t\tenic_set_layer(gp, FILTER_GENERIC_1_TCP, FILTER_GENERIC_1_L4,\n-\t\t\t       &tcp_mask, &tcp_val, sizeof(struct tcp_hdr));\n+\t\t\t       &tcp_mask, &tcp_val, sizeof(struct rte_tcp_hdr));\n \t} else if (input->flow_type == RTE_ETH_FLOW_NONFRAG_IPV4_SCTP) {\n \t\tstruct rte_sctp_hdr sctp_mask, sctp_val;\n \t\tmemset(&sctp_mask, 0, sizeof(sctp_mask));\n@@ -231,7 +231,7 @@ copy_fltr_v2(struct filter_v2 *fltr, const struct rte_eth_fdir_input *input,\n \t\tenic_set_layer(gp, FILTER_GENERIC_1_UDP, FILTER_GENERIC_1_L4,\n \t\t\t       &udp_mask, &udp_val, sizeof(struct udp_hdr));\n \t} else if (input->flow_type == RTE_ETH_FLOW_NONFRAG_IPV6_TCP) {\n-\t\tstruct tcp_hdr tcp_mask, tcp_val;\n+\t\tstruct rte_tcp_hdr tcp_mask, tcp_val;\n \t\tmemset(&tcp_mask, 0, sizeof(tcp_mask));\n \t\tmemset(&tcp_val, 0, sizeof(tcp_val));\n \n@@ -244,7 +244,7 @@ copy_fltr_v2(struct filter_v2 *fltr, const struct rte_eth_fdir_input *input,\n \t\t\ttcp_val.dst_port = input->flow.tcp6_flow.dst_port;\n \t\t}\n \t\tenic_set_layer(gp, FILTER_GENERIC_1_TCP, FILTER_GENERIC_1_L4,\n-\t\t\t       &tcp_mask, &tcp_val, sizeof(struct tcp_hdr));\n+\t\t\t       &tcp_mask, &tcp_val, sizeof(struct rte_tcp_hdr));\n \t} else if (input->flow_type == RTE_ETH_FLOW_NONFRAG_IPV6_SCTP) {\n \t\tstruct rte_sctp_hdr sctp_mask, sctp_val;\n \t\tmemset(&sctp_mask, 0, sizeof(sctp_mask));\ndiff --git a/drivers/net/enic/enic_flow.c b/drivers/net/enic/enic_flow.c\nindex a1582d019..f4301395c 100644\n--- a/drivers/net/enic/enic_flow.c\n+++ b/drivers/net/enic/enic_flow.c\n@@ -488,7 +488,7 @@ enic_copy_item_tcp_v1(struct copy_item_args *arg)\n \tconst struct rte_flow_item_tcp *spec = item->spec;\n \tconst struct rte_flow_item_tcp *mask = item->mask;\n \tstruct filter_ipv4_5tuple *enic_5tup = &enic_filter->u.ipv4;\n-\tstruct tcp_hdr supported_mask = {\n+\tstruct rte_tcp_hdr supported_mask = {\n \t\t.src_port = 0xffff,\n \t\t.dst_port = 0xffff,\n \t};\n@@ -654,7 +654,7 @@ enic_copy_item_inner_tcp_v2(struct copy_item_args *arg)\n \t\tmask = &rte_flow_item_tcp_mask;\n \t/* Append tcp header to L5 and set ip proto = tcp */\n \treturn copy_inner_common(&arg->filter->u.generic_1, off,\n-\t\targ->item->spec, mask, sizeof(struct tcp_hdr),\n+\t\targ->item->spec, mask, sizeof(struct rte_tcp_hdr),\n \t\targ->l3_proto_off, IPPROTO_TCP, 1);\n }\n \n@@ -860,9 +860,9 @@ enic_copy_item_tcp_v2(struct copy_item_args *arg)\n \t\treturn ENOTSUP;\n \n \tmemcpy(gp->layer[FILTER_GENERIC_1_L4].mask, &mask->hdr,\n-\t       sizeof(struct tcp_hdr));\n+\t       sizeof(struct rte_tcp_hdr));\n \tmemcpy(gp->layer[FILTER_GENERIC_1_L4].val, &spec->hdr,\n-\t       sizeof(struct tcp_hdr));\n+\t       sizeof(struct rte_tcp_hdr));\n \treturn 0;\n }\n \ndiff --git a/drivers/net/i40e/i40e_fdir.c b/drivers/net/i40e/i40e_fdir.c\nindex 14a82426e..46dfa79b7 100644\n--- a/drivers/net/i40e/i40e_fdir.c\n+++ b/drivers/net/i40e/i40e_fdir.c\n@@ -800,7 +800,7 @@ i40e_fdir_construct_pkt(struct i40e_pf *pf,\n {\n \tunsigned char *payload, *ptr;\n \tstruct udp_hdr *udp;\n-\tstruct tcp_hdr *tcp;\n+\tstruct rte_tcp_hdr *tcp;\n \tstruct rte_sctp_hdr *sctp;\n \tuint8_t size, dst = 0;\n \tuint8_t i, pit_idx, set_idx = I40E_FLXPLD_L4_IDX; /* use l4 by default*/\n@@ -828,8 +828,8 @@ i40e_fdir_construct_pkt(struct i40e_pf *pf,\n \t\tbreak;\n \n \tcase RTE_ETH_FLOW_NONFRAG_IPV4_TCP:\n-\t\ttcp = (struct tcp_hdr *)(raw_pkt + len);\n-\t\tpayload = (unsigned char *)tcp + sizeof(struct tcp_hdr);\n+\t\ttcp = (struct rte_tcp_hdr *)(raw_pkt + len);\n+\t\tpayload = (unsigned char *)tcp + sizeof(struct rte_tcp_hdr);\n \t\t/*\n \t\t * The source and destination fields in the transmitted packet\n \t\t * need to be presented in a reversed order with respect\n@@ -873,8 +873,8 @@ i40e_fdir_construct_pkt(struct i40e_pf *pf,\n \t\tbreak;\n \n \tcase RTE_ETH_FLOW_NONFRAG_IPV6_TCP:\n-\t\ttcp = (struct tcp_hdr *)(raw_pkt + len);\n-\t\tpayload = (unsigned char *)tcp + sizeof(struct tcp_hdr);\n+\t\ttcp = (struct rte_tcp_hdr *)(raw_pkt + len);\n+\t\tpayload = (unsigned char *)tcp + sizeof(struct rte_tcp_hdr);\n \t\t/*\n \t\t * The source and destination fields in the transmitted packet\n \t\t * need to be presented in a reversed order with respect\n@@ -1090,7 +1090,7 @@ i40e_flow_fdir_construct_pkt(struct i40e_pf *pf,\n \tunsigned char *payload = NULL;\n \tunsigned char *ptr;\n \tstruct udp_hdr *udp;\n-\tstruct tcp_hdr *tcp;\n+\tstruct rte_tcp_hdr *tcp;\n \tstruct rte_sctp_hdr *sctp;\n \tstruct rte_flow_item_gtp *gtp;\n \tstruct rte_ipv4_hdr *gtp_ipv4;\n@@ -1127,8 +1127,8 @@ i40e_flow_fdir_construct_pkt(struct i40e_pf *pf,\n \t\tudp->dst_port = fdir_input->flow.udp4_flow.src_port;\n \t\tudp->dgram_len = rte_cpu_to_be_16(I40E_FDIR_UDP_DEFAULT_LEN);\n \t} else if (pctype == I40E_FILTER_PCTYPE_NONF_IPV4_TCP) {\n-\t\ttcp = (struct tcp_hdr *)(raw_pkt + len);\n-\t\tpayload = (unsigned char *)tcp + sizeof(struct tcp_hdr);\n+\t\ttcp = (struct rte_tcp_hdr *)(raw_pkt + len);\n+\t\tpayload = (unsigned char *)tcp + sizeof(struct rte_tcp_hdr);\n \t\t/**\n \t\t * The source and destination fields in the transmitted packet\n \t\t * need to be presented in a reversed order with respect\n@@ -1164,8 +1164,8 @@ i40e_flow_fdir_construct_pkt(struct i40e_pf *pf,\n \t\tudp->dst_port = fdir_input->flow.udp6_flow.src_port;\n \t\tudp->dgram_len = rte_cpu_to_be_16(I40E_FDIR_IPv6_PAYLOAD_LEN);\n \t} else if (pctype == I40E_FILTER_PCTYPE_NONF_IPV6_TCP) {\n-\t\ttcp = (struct tcp_hdr *)(raw_pkt + len);\n-\t\tpayload = (unsigned char *)tcp + sizeof(struct tcp_hdr);\n+\t\ttcp = (struct rte_tcp_hdr *)(raw_pkt + len);\n+\t\tpayload = (unsigned char *)tcp + sizeof(struct rte_tcp_hdr);\n \t\t/**\n \t\t * The source and destination fields in the transmitted packet\n \t\t * need to be presented in a reversed order with respect\ndiff --git a/drivers/net/i40e/i40e_rxtx.c b/drivers/net/i40e/i40e_rxtx.c\nindex fcbae1a33..d340fa156 100644\n--- a/drivers/net/i40e/i40e_rxtx.c\n+++ b/drivers/net/i40e/i40e_rxtx.c\n@@ -302,7 +302,7 @@ i40e_txd_enable_checksum(uint64_t ol_flags,\n \tswitch (ol_flags & PKT_TX_L4_MASK) {\n \tcase PKT_TX_TCP_CKSUM:\n \t\t*td_cmd |= I40E_TX_DESC_CMD_L4T_EOFT_TCP;\n-\t\t*td_offset |= (sizeof(struct tcp_hdr) >> 2) <<\n+\t\t*td_offset |= (sizeof(struct rte_tcp_hdr) >> 2) <<\n \t\t\t\tI40E_TX_DESC_LENGTH_L4_FC_LEN_SHIFT;\n \t\tbreak;\n \tcase PKT_TX_SCTP_CKSUM:\ndiff --git a/drivers/net/iavf/iavf_rxtx.c b/drivers/net/iavf/iavf_rxtx.c\nindex c0fc0a3e7..5f51e7086 100644\n--- a/drivers/net/iavf/iavf_rxtx.c\n+++ b/drivers/net/iavf/iavf_rxtx.c\n@@ -1417,7 +1417,7 @@ iavf_txd_enable_checksum(uint64_t ol_flags,\n \tswitch (ol_flags & PKT_TX_L4_MASK) {\n \tcase PKT_TX_TCP_CKSUM:\n \t\t*td_cmd |= IAVF_TX_DESC_CMD_L4T_EOFT_TCP;\n-\t\t*td_offset |= (sizeof(struct tcp_hdr) >> 2) <<\n+\t\t*td_offset |= (sizeof(struct rte_tcp_hdr) >> 2) <<\n \t\t\t      IAVF_TX_DESC_LENGTH_L4_FC_LEN_SHIFT;\n \t\tbreak;\n \tcase PKT_TX_SCTP_CKSUM:\ndiff --git a/drivers/net/ice/ice_rxtx.c b/drivers/net/ice/ice_rxtx.c\nindex 69f749a08..b69692d3f 100644\n--- a/drivers/net/ice/ice_rxtx.c\n+++ b/drivers/net/ice/ice_rxtx.c\n@@ -1762,7 +1762,7 @@ ice_txd_enable_checksum(uint64_t ol_flags,\n \tswitch (ol_flags & PKT_TX_L4_MASK) {\n \tcase PKT_TX_TCP_CKSUM:\n \t\t*td_cmd |= ICE_TX_DESC_CMD_L4T_EOFT_TCP;\n-\t\t*td_offset |= (sizeof(struct tcp_hdr) >> 2) <<\n+\t\t*td_offset |= (sizeof(struct rte_tcp_hdr) >> 2) <<\n \t\t\t      ICE_TX_DESC_LEN_L4_LEN_S;\n \t\tbreak;\n \tcase PKT_TX_SCTP_CKSUM:\ndiff --git a/drivers/net/ixgbe/ixgbe_rxtx.c b/drivers/net/ixgbe/ixgbe_rxtx.c\nindex a0bd3b366..eefd5b463 100644\n--- a/drivers/net/ixgbe/ixgbe_rxtx.c\n+++ b/drivers/net/ixgbe/ixgbe_rxtx.c\n@@ -429,7 +429,8 @@ ixgbe_set_xmit_ctx(struct ixgbe_tx_queue *txq,\n \t\tcase PKT_TX_TCP_CKSUM:\n \t\t\ttype_tucmd_mlhl |= IXGBE_ADVTXD_TUCMD_L4T_TCP |\n \t\t\t\tIXGBE_ADVTXD_DTYP_CTXT | IXGBE_ADVTXD_DCMD_DEXT;\n-\t\t\tmss_l4len_idx |= sizeof(struct tcp_hdr) << IXGBE_ADVTXD_L4LEN_SHIFT;\n+\t\t\tmss_l4len_idx |= sizeof(struct rte_tcp_hdr)\n+\t\t\t\t<< IXGBE_ADVTXD_L4LEN_SHIFT;\n \t\t\ttx_offload_mask.l2_len |= ~0;\n \t\t\ttx_offload_mask.l3_len |= ~0;\n \t\t\tbreak;\ndiff --git a/drivers/net/mlx5/mlx5_flow.c b/drivers/net/mlx5/mlx5_flow.c\nindex 3a0ca114e..bd730f188 100644\n--- a/drivers/net/mlx5/mlx5_flow.c\n+++ b/drivers/net/mlx5/mlx5_flow.c\n@@ -2617,11 +2617,11 @@ flow_fdir_filter_convert(struct rte_eth_dev *dev,\n \t\t};\n \t\tbreak;\n \tcase RTE_ETH_FLOW_NONFRAG_IPV4_TCP:\n-\t\tattributes->l4.tcp.hdr = (struct tcp_hdr){\n+\t\tattributes->l4.tcp.hdr = (struct rte_tcp_hdr){\n \t\t\t.src_port = input->flow.tcp4_flow.src_port,\n \t\t\t.dst_port = input->flow.tcp4_flow.dst_port,\n \t\t};\n-\t\tattributes->l4_mask.tcp.hdr = (struct tcp_hdr){\n+\t\tattributes->l4_mask.tcp.hdr = (struct rte_tcp_hdr){\n \t\t\t.src_port = mask->src_port_mask,\n \t\t\t.dst_port = mask->dst_port_mask,\n \t\t};\n@@ -2647,11 +2647,11 @@ flow_fdir_filter_convert(struct rte_eth_dev *dev,\n \t\t};\n \t\tbreak;\n \tcase RTE_ETH_FLOW_NONFRAG_IPV6_TCP:\n-\t\tattributes->l4.tcp.hdr = (struct tcp_hdr){\n+\t\tattributes->l4.tcp.hdr = (struct rte_tcp_hdr){\n \t\t\t.src_port = input->flow.tcp6_flow.src_port,\n \t\t\t.dst_port = input->flow.tcp6_flow.dst_port,\n \t\t};\n-\t\tattributes->l4_mask.tcp.hdr = (struct tcp_hdr){\n+\t\tattributes->l4_mask.tcp.hdr = (struct rte_tcp_hdr){\n \t\t\t.src_port = mask->src_port_mask,\n \t\t\t.dst_port = mask->dst_port_mask,\n \t\t};\ndiff --git a/drivers/net/mlx5/mlx5_flow_tcf.c b/drivers/net/mlx5/mlx5_flow_tcf.c\nindex 2270ae304..223ee4fb1 100644\n--- a/drivers/net/mlx5/mlx5_flow_tcf.c\n+++ b/drivers/net/mlx5/mlx5_flow_tcf.c\n@@ -776,8 +776,8 @@ flow_tcf_pedit_key_set_tp_port(const struct rte_flow_action *actions,\n \t/* offset of src/dst port is same for TCP and UDP */\n \tp_parser->keys[idx].off =\n \t\tactions->type == RTE_FLOW_ACTION_TYPE_SET_TP_SRC ?\n-\t\toffsetof(struct tcp_hdr, src_port) :\n-\t\toffsetof(struct tcp_hdr, dst_port);\n+\t\toffsetof(struct rte_tcp_hdr, src_port) :\n+\t\toffsetof(struct rte_tcp_hdr, dst_port);\n \tp_parser->keys[idx].mask = 0xFFFF0000;\n \tp_parser->keys[idx].val =\n \t\t(__u32)((const struct rte_flow_action_set_tp *)\ndiff --git a/drivers/net/qede/qede_filter.c b/drivers/net/qede/qede_filter.c\nindex 2caf314d2..cc048e178 100644\n--- a/drivers/net/qede/qede_filter.c\n+++ b/drivers/net/qede/qede_filter.c\n@@ -460,7 +460,7 @@ qede_arfs_construct_pkt(struct rte_eth_dev *eth_dev,\n \tstruct rte_ipv4_hdr *ip;\n \tstruct rte_ipv6_hdr *ip6;\n \tstruct udp_hdr *udp;\n-\tstruct tcp_hdr *tcp;\n+\tstruct rte_tcp_hdr *tcp;\n \tuint16_t len;\n \n \traw_pkt = (uint8_t *)buff;\n@@ -496,13 +496,13 @@ qede_arfs_construct_pkt(struct rte_eth_dev *eth_dev,\n \t\t\tip->total_length += sizeof(struct udp_hdr);\n \t\t\tparams->udp = true;\n \t\t} else { /* TCP */\n-\t\t\ttcp = (struct tcp_hdr *)(raw_pkt + len);\n+\t\t\ttcp = (struct rte_tcp_hdr *)(raw_pkt + len);\n \t\t\ttcp->src_port = arfs->tuple.src_port;\n \t\t\ttcp->dst_port = arfs->tuple.dst_port;\n \t\t\ttcp->data_off = QEDE_FDIR_TCP_DEFAULT_DATAOFF;\n-\t\t\tlen += sizeof(struct tcp_hdr);\n+\t\t\tlen += sizeof(struct rte_tcp_hdr);\n \t\t\t/* adjust ip total_length */\n-\t\t\tip->total_length += sizeof(struct tcp_hdr);\n+\t\t\tip->total_length += sizeof(struct rte_tcp_hdr);\n \t\t\tparams->tcp = true;\n \t\t}\n \t\tbreak;\n@@ -528,11 +528,11 @@ qede_arfs_construct_pkt(struct rte_eth_dev *eth_dev,\n \t\t\tlen += sizeof(struct udp_hdr);\n \t\t\tparams->udp = true;\n \t\t} else { /* TCP */\n-\t\t\ttcp = (struct tcp_hdr *)(raw_pkt + len);\n+\t\t\ttcp = (struct rte_tcp_hdr *)(raw_pkt + len);\n \t\t\ttcp->src_port = arfs->tuple.src_port;\n \t\t\ttcp->dst_port = arfs->tuple.dst_port;\n \t\t\ttcp->data_off = QEDE_FDIR_TCP_DEFAULT_DATAOFF;\n-\t\t\tlen += sizeof(struct tcp_hdr);\n+\t\t\tlen += sizeof(struct rte_tcp_hdr);\n \t\t\tparams->tcp = true;\n \t\t}\n \t\tbreak;\ndiff --git a/drivers/net/sfc/sfc_ef10_tx.c b/drivers/net/sfc/sfc_ef10_tx.c\nindex 055389efe..4e4f35827 100644\n--- a/drivers/net/sfc/sfc_ef10_tx.c\n+++ b/drivers/net/sfc/sfc_ef10_tx.c\n@@ -373,7 +373,7 @@ sfc_ef10_xmit_tso_pkt(struct sfc_ef10_txq * const txq, struct rte_mbuf *m_seg,\n \tsize_t header_len = tcph_off + m_seg->l4_len;\n \t/* Offset of the payload in the last segment that contains the header */\n \tsize_t in_off = 0;\n-\tconst struct tcp_hdr *th;\n+\tconst struct rte_tcp_hdr *th;\n \tuint16_t packet_id = 0;\n \tuint16_t outer_packet_id = 0;\n \tuint32_t sent_seq;\n@@ -489,7 +489,7 @@ sfc_ef10_xmit_tso_pkt(struct sfc_ef10_txq * const txq, struct rte_mbuf *m_seg,\n \t\touter_packet_id = sfc_tso_ip4_get_ipid(hdr_addr,\n \t\t\t\t\t\tfirst_m_seg->outer_l2_len);\n \n-\tth = (const struct tcp_hdr *)(hdr_addr + tcph_off);\n+\tth = (const struct rte_tcp_hdr *)(hdr_addr + tcph_off);\n \trte_memcpy(&sent_seq, &th->sent_seq, sizeof(uint32_t));\n \tsent_seq = rte_be_to_cpu_32(sent_seq);\n \ndiff --git a/drivers/net/sfc/sfc_tso.c b/drivers/net/sfc/sfc_tso.c\nindex 1374aceaa..2e34fc045 100644\n--- a/drivers/net/sfc/sfc_tso.c\n+++ b/drivers/net/sfc/sfc_tso.c\n@@ -95,7 +95,7 @@ sfc_efx_tso_do(struct sfc_efx_txq *txq, unsigned int idx,\n \t       unsigned int *pkt_descs, size_t *pkt_len)\n {\n \tuint8_t *tsoh;\n-\tconst struct tcp_hdr *th;\n+\tconst struct rte_tcp_hdr *th;\n \tefsys_dma_addr_t header_paddr;\n \tuint16_t packet_id = 0;\n \tuint32_t sent_seq;\n@@ -150,7 +150,7 @@ sfc_efx_tso_do(struct sfc_efx_txq *txq, unsigned int idx,\n \t\tpacket_id = sfc_tso_ip4_get_ipid(tsoh, nh_off);\n \n \t/* Handle TCP header */\n-\tth = (const struct tcp_hdr *)(tsoh + tcph_off);\n+\tth = (const struct rte_tcp_hdr *)(tsoh + tcph_off);\n \n \trte_memcpy(&sent_seq, &th->sent_seq, sizeof(uint32_t));\n \tsent_seq = rte_be_to_cpu_32(sent_seq);\ndiff --git a/drivers/net/softnic/rte_eth_softnic_pipeline.c b/drivers/net/softnic/rte_eth_softnic_pipeline.c\nindex e0e5856a4..337aa32e5 100644\n--- a/drivers/net/softnic/rte_eth_softnic_pipeline.c\n+++ b/drivers/net/softnic/rte_eth_softnic_pipeline.c\n@@ -688,7 +688,7 @@ static const struct rte_acl_field_def table_acl_field_format_ipv4[] = {\n \t\t.field_index = 3,\n \t\t.input_index = 3,\n \t\t.offset = sizeof(struct rte_ipv4_hdr) +\n-\t\t\toffsetof(struct tcp_hdr, src_port),\n+\t\t\toffsetof(struct rte_tcp_hdr, src_port),\n \t},\n \n \t/* Destination Port */\n@@ -698,7 +698,7 @@ static const struct rte_acl_field_def table_acl_field_format_ipv4[] = {\n \t\t.field_index = 4,\n \t\t.input_index = 3,\n \t\t.offset = sizeof(struct rte_ipv4_hdr) +\n-\t\t\toffsetof(struct tcp_hdr, dst_port),\n+\t\t\toffsetof(struct rte_tcp_hdr, dst_port),\n \t},\n };\n \n@@ -785,7 +785,7 @@ static const struct rte_acl_field_def table_acl_field_format_ipv6[] = {\n \t\t.field_index = 9,\n \t\t.input_index = 9,\n \t\t.offset = sizeof(struct rte_ipv6_hdr) +\n-\t\t\toffsetof(struct tcp_hdr, src_port),\n+\t\t\toffsetof(struct rte_tcp_hdr, src_port),\n \t},\n \n \t/* Destination Port */\n@@ -795,7 +795,7 @@ static const struct rte_acl_field_def table_acl_field_format_ipv6[] = {\n \t\t.field_index = 10,\n \t\t.input_index = 9,\n \t\t.offset = sizeof(struct rte_ipv6_hdr) +\n-\t\t\toffsetof(struct tcp_hdr, dst_port),\n+\t\t\toffsetof(struct rte_tcp_hdr, dst_port),\n \t},\n };\n \ndiff --git a/drivers/net/tap/rte_eth_tap.c b/drivers/net/tap/rte_eth_tap.c\nindex 8abae5a91..52cbce8b6 100644\n--- a/drivers/net/tap/rte_eth_tap.c\n+++ b/drivers/net/tap/rte_eth_tap.c\n@@ -510,7 +510,7 @@ tap_tx_l3_cksum(char *packet, uint64_t ol_flags, unsigned int l2_len,\n \t\tif ((ol_flags & PKT_TX_L4_MASK) == PKT_TX_UDP_CKSUM)\n \t\t\t*l4_cksum = &((struct udp_hdr *)l4_hdr)->dgram_cksum;\n \t\telse if ((ol_flags & PKT_TX_L4_MASK) == PKT_TX_TCP_CKSUM)\n-\t\t\t*l4_cksum = &((struct tcp_hdr *)l4_hdr)->cksum;\n+\t\t\t*l4_cksum = &((struct rte_tcp_hdr *)l4_hdr)->cksum;\n \t\telse\n \t\t\treturn;\n \t\t**l4_cksum = 0;\ndiff --git a/drivers/net/virtio/virtio_rxtx.c b/drivers/net/virtio/virtio_rxtx.c\nindex b4c54c1b1..769e675a3 100644\n--- a/drivers/net/virtio/virtio_rxtx.c\n+++ b/drivers/net/virtio/virtio_rxtx.c\n@@ -481,7 +481,7 @@ virtio_tso_fix_cksum(struct rte_mbuf *m)\n \t\t\tm->l4_len)) {\n \t\tstruct rte_ipv4_hdr *iph;\n \t\tstruct rte_ipv6_hdr *ip6h;\n-\t\tstruct tcp_hdr *th;\n+\t\tstruct rte_tcp_hdr *th;\n \t\tuint16_t prev_cksum, new_cksum, ip_len, ip_paylen;\n \t\tuint32_t tmp;\n \n@@ -546,7 +546,7 @@ virtqueue_xmit_offload(struct virtio_net_hdr *hdr,\n \n \t\tcase PKT_TX_TCP_CKSUM:\n \t\t\thdr->csum_start = cookie->l2_len + cookie->l3_len;\n-\t\t\thdr->csum_offset = offsetof(struct tcp_hdr, cksum);\n+\t\t\thdr->csum_offset = offsetof(struct rte_tcp_hdr, cksum);\n \t\t\thdr->flags = VIRTIO_NET_HDR_F_NEEDS_CSUM;\n \t\t\tbreak;\n \ndiff --git a/drivers/net/vmxnet3/vmxnet3_rxtx.c b/drivers/net/vmxnet3/vmxnet3_rxtx.c\nindex a6a69df22..23c0e9553 100644\n--- a/drivers/net/vmxnet3/vmxnet3_rxtx.c\n+++ b/drivers/net/vmxnet3/vmxnet3_rxtx.c\n@@ -541,7 +541,8 @@ vmxnet3_xmit_pkts(void *tx_queue, struct rte_mbuf **tx_pkts,\n \n \t\t\tswitch (txm->ol_flags & PKT_TX_L4_MASK) {\n \t\t\tcase PKT_TX_TCP_CKSUM:\n-\t\t\t\tgdesc->txd.msscof = gdesc->txd.hlen + offsetof(struct tcp_hdr, cksum);\n+\t\t\t\tgdesc->txd.msscof = gdesc->txd.hlen +\n+\t\t\t\t\toffsetof(struct rte_tcp_hdr, cksum);\n \t\t\t\tbreak;\n \t\t\tcase PKT_TX_UDP_CKSUM:\n \t\t\t\tgdesc->txd.msscof = gdesc->txd.hlen + offsetof(struct udp_hdr, dgram_cksum);\n@@ -669,7 +670,7 @@ vmxnet3_guess_mss(struct vmxnet3_hw *hw, const Vmxnet3_RxCompDesc *rcd,\n \tuint32_t hlen, slen;\n \tstruct rte_ipv4_hdr *ipv4_hdr;\n \tstruct rte_ipv6_hdr *ipv6_hdr;\n-\tstruct tcp_hdr *tcp_hdr;\n+\tstruct rte_tcp_hdr *tcp_hdr;\n \tchar *ptr;\n \n \tRTE_ASSERT(rcd->tcp);\n@@ -681,7 +682,7 @@ vmxnet3_guess_mss(struct vmxnet3_hw *hw, const Vmxnet3_RxCompDesc *rcd,\n \tif (rcd->v4) {\n \t\tif (unlikely(slen < hlen + sizeof(struct rte_ipv4_hdr)))\n \t\t\treturn hw->mtu - sizeof(struct rte_ipv4_hdr)\n-\t\t\t\t\t- sizeof(struct tcp_hdr);\n+\t\t\t\t\t- sizeof(struct rte_tcp_hdr);\n \n \t\tipv4_hdr = (struct rte_ipv4_hdr *)(ptr + hlen);\n \t\thlen += (ipv4_hdr->version_ihl & RTE_IPV4_HDR_IHL_MASK) *\n@@ -689,7 +690,7 @@ vmxnet3_guess_mss(struct vmxnet3_hw *hw, const Vmxnet3_RxCompDesc *rcd,\n \t} else if (rcd->v6) {\n \t\tif (unlikely(slen < hlen + sizeof(struct rte_ipv6_hdr)))\n \t\t\treturn hw->mtu - sizeof(struct rte_ipv6_hdr) -\n-\t\t\t\t\tsizeof(struct tcp_hdr);\n+\t\t\t\t\tsizeof(struct rte_tcp_hdr);\n \n \t\tipv6_hdr = (struct rte_ipv6_hdr *)(ptr + hlen);\n \t\thlen += sizeof(struct rte_ipv6_hdr);\n@@ -701,11 +702,11 @@ vmxnet3_guess_mss(struct vmxnet3_hw *hw, const Vmxnet3_RxCompDesc *rcd,\n \t\t}\n \t}\n \n-\tif (unlikely(slen < hlen + sizeof(struct tcp_hdr)))\n-\t\treturn hw->mtu - hlen - sizeof(struct tcp_hdr) +\n+\tif (unlikely(slen < hlen + sizeof(struct rte_tcp_hdr)))\n+\t\treturn hw->mtu - hlen - sizeof(struct rte_tcp_hdr) +\n \t\t\t\tsizeof(struct rte_ether_hdr);\n \n-\ttcp_hdr = (struct tcp_hdr *)(ptr + hlen);\n+\ttcp_hdr = (struct rte_tcp_hdr *)(ptr + hlen);\n \thlen += (tcp_hdr->data_off & 0xf0) >> 2;\n \n \tif (rxm->udata64 > 1)\ndiff --git a/examples/flow_classify/flow_classify.c b/examples/flow_classify/flow_classify.c\nindex e68d0f694..75a66fd5b 100644\n--- a/examples/flow_classify/flow_classify.c\n+++ b/examples/flow_classify/flow_classify.c\n@@ -133,7 +133,7 @@ static struct rte_acl_field_def ipv4_defs[NUM_FIELDS_IPV4] = {\n \t\t.input_index = SRCP_DESTP_INPUT_IPV4,\n \t\t.offset = sizeof(struct rte_ether_hdr) +\n \t\t\tsizeof(struct rte_ipv4_hdr) +\n-\t\t\toffsetof(struct tcp_hdr, src_port),\n+\t\t\toffsetof(struct rte_tcp_hdr, src_port),\n \t},\n \t{\n \t\t/* rte_flow uses a bit mask for protocol ports */\n@@ -143,7 +143,7 @@ static struct rte_acl_field_def ipv4_defs[NUM_FIELDS_IPV4] = {\n \t\t.input_index = SRCP_DESTP_INPUT_IPV4,\n \t\t.offset = sizeof(struct rte_ether_hdr) +\n \t\t\tsizeof(struct rte_ipv4_hdr) +\n-\t\t\toffsetof(struct tcp_hdr, dst_port),\n+\t\t\toffsetof(struct rte_tcp_hdr, dst_port),\n \t},\n };\n \ndiff --git a/examples/ip_pipeline/pipeline.c b/examples/ip_pipeline/pipeline.c\nindex 9cc7e32d8..b627310a0 100644\n--- a/examples/ip_pipeline/pipeline.c\n+++ b/examples/ip_pipeline/pipeline.c\n@@ -664,7 +664,7 @@ static const struct rte_acl_field_def table_acl_field_format_ipv4[] = {\n \t\t.field_index = 3,\n \t\t.input_index = 3,\n \t\t.offset = sizeof(struct rte_ipv4_hdr) +\n-\t\t\toffsetof(struct tcp_hdr, src_port),\n+\t\t\toffsetof(struct rte_tcp_hdr, src_port),\n \t},\n \n \t/* Destination Port */\n@@ -674,7 +674,7 @@ static const struct rte_acl_field_def table_acl_field_format_ipv4[] = {\n \t\t.field_index = 4,\n \t\t.input_index = 3,\n \t\t.offset = sizeof(struct rte_ipv4_hdr) +\n-\t\t\toffsetof(struct tcp_hdr, dst_port),\n+\t\t\toffsetof(struct rte_tcp_hdr, dst_port),\n \t},\n };\n \n@@ -761,7 +761,7 @@ static const struct rte_acl_field_def table_acl_field_format_ipv6[] = {\n \t\t.field_index = 9,\n \t\t.input_index = 9,\n \t\t.offset = sizeof(struct rte_ipv6_hdr) +\n-\t\t\toffsetof(struct tcp_hdr, src_port),\n+\t\t\toffsetof(struct rte_tcp_hdr, src_port),\n \t},\n \n \t/* Destination Port */\n@@ -771,7 +771,7 @@ static const struct rte_acl_field_def table_acl_field_format_ipv6[] = {\n \t\t.field_index = 10,\n \t\t.input_index = 9,\n \t\t.offset = sizeof(struct rte_ipv6_hdr) +\n-\t\t\toffsetof(struct tcp_hdr, dst_port),\n+\t\t\toffsetof(struct rte_tcp_hdr, dst_port),\n \t},\n };\n \ndiff --git a/examples/l3fwd-power/main.c b/examples/l3fwd-power/main.c\nindex 2c6da3ffc..2631fdd75 100644\n--- a/examples/l3fwd-power/main.c\n+++ b/examples/l3fwd-power/main.c\n@@ -527,7 +527,7 @@ get_ipv4_dst_port(struct rte_ipv4_hdr *ipv4_hdr, uint16_t portid,\n \t\tlookup_struct_t * ipv4_l3fwd_lookup_struct)\n {\n \tstruct ipv4_5tuple key;\n-\tstruct tcp_hdr *tcp;\n+\tstruct rte_tcp_hdr *tcp;\n \tstruct udp_hdr *udp;\n \tint ret = 0;\n \n@@ -537,7 +537,7 @@ get_ipv4_dst_port(struct rte_ipv4_hdr *ipv4_hdr, uint16_t portid,\n \n \tswitch (ipv4_hdr->next_proto_id) {\n \tcase IPPROTO_TCP:\n-\t\ttcp = (struct tcp_hdr *)((unsigned char *)ipv4_hdr +\n+\t\ttcp = (struct rte_tcp_hdr *)((unsigned char *)ipv4_hdr +\n \t\t\t\t\tsizeof(struct rte_ipv4_hdr));\n \t\tkey.port_dst = rte_be_to_cpu_16(tcp->dst_port);\n \t\tkey.port_src = rte_be_to_cpu_16(tcp->src_port);\n@@ -566,7 +566,7 @@ get_ipv6_dst_port(struct rte_ipv6_hdr *ipv6_hdr, uint16_t portid,\n \t\t\tlookup_struct_t *ipv6_l3fwd_lookup_struct)\n {\n \tstruct ipv6_5tuple key;\n-\tstruct tcp_hdr *tcp;\n+\tstruct rte_tcp_hdr *tcp;\n \tstruct udp_hdr *udp;\n \tint ret = 0;\n \n@@ -577,7 +577,7 @@ get_ipv6_dst_port(struct rte_ipv6_hdr *ipv6_hdr, uint16_t portid,\n \n \tswitch (ipv6_hdr->proto) {\n \tcase IPPROTO_TCP:\n-\t\ttcp = (struct tcp_hdr *)((unsigned char *) ipv6_hdr +\n+\t\ttcp = (struct rte_tcp_hdr *)((unsigned char *) ipv6_hdr +\n \t\t\t\t\tsizeof(struct rte_ipv6_hdr));\n \t\tkey.port_dst = rte_be_to_cpu_16(tcp->dst_port);\n \t\tkey.port_src = rte_be_to_cpu_16(tcp->src_port);\ndiff --git a/examples/l3fwd-vf/main.c b/examples/l3fwd-vf/main.c\nindex 5e3809b8d..d57ac262b 100644\n--- a/examples/l3fwd-vf/main.c\n+++ b/examples/l3fwd-vf/main.c\n@@ -366,7 +366,7 @@ get_dst_port(struct rte_ipv4_hdr *ipv4_hdr, uint16_t portid,\n \t      lookup_struct_t *l3fwd_lookup_struct)\n {\n \tstruct ipv4_5tuple key;\n-\tstruct tcp_hdr *tcp;\n+\tstruct rte_tcp_hdr *tcp;\n \tstruct udp_hdr *udp;\n \tint ret = 0;\n \n@@ -376,7 +376,7 @@ get_dst_port(struct rte_ipv4_hdr *ipv4_hdr, uint16_t portid,\n \n \tswitch (ipv4_hdr->next_proto_id) {\n \tcase IPPROTO_TCP:\n-\t\ttcp = (struct tcp_hdr *)((unsigned char *) ipv4_hdr +\n+\t\ttcp = (struct rte_tcp_hdr *)((unsigned char *) ipv4_hdr +\n \t\t\t\t\tsizeof(struct rte_ipv4_hdr));\n \t\tkey.port_dst = rte_be_to_cpu_16(tcp->dst_port);\n \t\tkey.port_src = rte_be_to_cpu_16(tcp->src_port);\ndiff --git a/examples/tep_termination/vxlan.c b/examples/tep_termination/vxlan.c\nindex 1add9904a..66e29ea56 100644\n--- a/examples/tep_termination/vxlan.c\n+++ b/examples/tep_termination/vxlan.c\n@@ -78,7 +78,7 @@ process_inner_cksums(struct rte_ether_hdr *eth_hdr,\n \tstruct rte_ipv4_hdr *ipv4_hdr;\n \tstruct rte_ipv6_hdr *ipv6_hdr;\n \tstruct udp_hdr *udp_hdr;\n-\tstruct tcp_hdr *tcp_hdr;\n+\tstruct rte_tcp_hdr *tcp_hdr;\n \tstruct rte_sctp_hdr *sctp_hdr;\n \tuint64_t ol_flags = 0;\n \n@@ -115,7 +115,7 @@ process_inner_cksums(struct rte_ether_hdr *eth_hdr,\n \t\tudp_hdr->dgram_cksum = get_psd_sum(l3_hdr,\n \t\t\t\tethertype, ol_flags);\n \t} else if (l4_proto == IPPROTO_TCP) {\n-\t\ttcp_hdr = (struct tcp_hdr *)((char *)l3_hdr + info->l3_len);\n+\t\ttcp_hdr = (struct rte_tcp_hdr *)((char *)l3_hdr + info->l3_len);\n \t\t/* Put PKT_TX_TCP_SEG bit setting before get_psd_sum(), because\n \t\t * it depends on PKT_TX_TCP_SEG to calculate pseudo-header\n \t\t * checksum.\ndiff --git a/examples/vhost/main.c b/examples/vhost/main.c\nindex c6a6531e5..9427d59e6 100644\n--- a/examples/vhost/main.c\n+++ b/examples/vhost/main.c\n@@ -866,7 +866,7 @@ static void virtio_tx_offload(struct rte_mbuf *m)\n {\n \tvoid *l3_hdr;\n \tstruct rte_ipv4_hdr *ipv4_hdr = NULL;\n-\tstruct tcp_hdr *tcp_hdr = NULL;\n+\tstruct rte_tcp_hdr *tcp_hdr = NULL;\n \tstruct rte_ether_hdr *eth_hdr =\n \t\trte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n@@ -878,7 +878,7 @@ static void virtio_tx_offload(struct rte_mbuf *m)\n \t\tm->ol_flags |= PKT_TX_IP_CKSUM;\n \t}\n \n-\ttcp_hdr = (struct tcp_hdr *)((char *)l3_hdr + m->l3_len);\n+\ttcp_hdr = (struct rte_tcp_hdr *)((char *)l3_hdr + m->l3_len);\n \ttcp_hdr->cksum = get_psd_sum(l3_hdr, m->ol_flags);\n }\n \ndiff --git a/lib/librte_ethdev/rte_flow.h b/lib/librte_ethdev/rte_flow.h\nindex 0e4e3a5a5..1bf748db7 100644\n--- a/lib/librte_ethdev/rte_flow.h\n+++ b/lib/librte_ethdev/rte_flow.h\n@@ -711,7 +711,7 @@ static const struct rte_flow_item_udp rte_flow_item_udp_mask = {\n  * Matches a TCP header.\n  */\n struct rte_flow_item_tcp {\n-\tstruct tcp_hdr hdr; /**< TCP header definition. */\n+\tstruct rte_tcp_hdr hdr; /**< TCP header definition. */\n };\n \n /** Default mask for RTE_FLOW_ITEM_TYPE_TCP. */\ndiff --git a/lib/librte_gro/gro_tcp4.c b/lib/librte_gro/gro_tcp4.c\nindex 20f2ea7e6..70d8c5d19 100644\n--- a/lib/librte_gro/gro_tcp4.c\n+++ b/lib/librte_gro/gro_tcp4.c\n@@ -196,7 +196,7 @@ gro_tcp4_reassemble(struct rte_mbuf *pkt,\n {\n \tstruct rte_ether_hdr *eth_hdr;\n \tstruct rte_ipv4_hdr *ipv4_hdr;\n-\tstruct tcp_hdr *tcp_hdr;\n+\tstruct rte_tcp_hdr *tcp_hdr;\n \tuint32_t sent_seq;\n \tint32_t tcp_dl;\n \tuint16_t ip_id, hdr_len, frag_off;\n@@ -217,7 +217,7 @@ gro_tcp4_reassemble(struct rte_mbuf *pkt,\n \n \teth_hdr = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \tipv4_hdr = (struct rte_ipv4_hdr *)((char *)eth_hdr + pkt->l2_len);\n-\ttcp_hdr = (struct tcp_hdr *)((char *)ipv4_hdr + pkt->l3_len);\n+\ttcp_hdr = (struct rte_tcp_hdr *)((char *)ipv4_hdr + pkt->l3_len);\n \thdr_len = pkt->l2_len + pkt->l3_len + pkt->l4_len;\n \n \t/*\ndiff --git a/lib/librte_gro/gro_tcp4.h b/lib/librte_gro/gro_tcp4.h\nindex 0a42a1ae8..9fe291191 100644\n--- a/lib/librte_gro/gro_tcp4.h\n+++ b/lib/librte_gro/gro_tcp4.h\n@@ -20,7 +20,7 @@\n /* The maximum TCP header length */\n #define MAX_TCP_HLEN 60\n #define INVALID_TCP_HDRLEN(len) \\\n-\t(((len) < sizeof(struct tcp_hdr)) || ((len) > MAX_TCP_HLEN))\n+\t(((len) < sizeof(struct rte_tcp_hdr)) || ((len) > MAX_TCP_HLEN))\n \n /* Header fields representing a TCP/IPv4 flow */\n struct tcp4_flow_key {\n@@ -260,7 +260,7 @@ merge_two_tcp4_packets(struct gro_tcp4_item *item,\n  */\n static inline int\n check_seq_option(struct gro_tcp4_item *item,\n-\t\tstruct tcp_hdr *tcph,\n+\t\tstruct rte_tcp_hdr *tcph,\n \t\tuint32_t sent_seq,\n \t\tuint16_t ip_id,\n \t\tuint16_t tcp_hl,\n@@ -270,16 +270,16 @@ check_seq_option(struct gro_tcp4_item *item,\n {\n \tstruct rte_mbuf *pkt_orig = item->firstseg;\n \tstruct rte_ipv4_hdr *iph_orig;\n-\tstruct tcp_hdr *tcph_orig;\n+\tstruct rte_tcp_hdr *tcph_orig;\n \tuint16_t len, tcp_hl_orig;\n \n \tiph_orig = (struct rte_ipv4_hdr *)(rte_pktmbuf_mtod(pkt_orig, char *) +\n \t\t\tl2_offset + pkt_orig->l2_len);\n-\ttcph_orig = (struct tcp_hdr *)((char *)iph_orig + pkt_orig->l3_len);\n+\ttcph_orig = (struct rte_tcp_hdr *)((char *)iph_orig + pkt_orig->l3_len);\n \ttcp_hl_orig = pkt_orig->l4_len;\n \n \t/* Check if TCP option fields equal */\n-\tlen = RTE_MAX(tcp_hl, tcp_hl_orig) - sizeof(struct tcp_hdr);\n+\tlen = RTE_MAX(tcp_hl, tcp_hl_orig) - sizeof(struct rte_tcp_hdr);\n \tif ((tcp_hl != tcp_hl_orig) || ((len > 0) &&\n \t\t\t\t(memcmp(tcph + 1, tcph_orig + 1,\n \t\t\t\t\tlen) != 0)))\ndiff --git a/lib/librte_gro/gro_vxlan_tcp4.c b/lib/librte_gro/gro_vxlan_tcp4.c\nindex d1becb6f3..3318b3251 100644\n--- a/lib/librte_gro/gro_vxlan_tcp4.c\n+++ b/lib/librte_gro/gro_vxlan_tcp4.c\n@@ -208,7 +208,7 @@ is_same_vxlan_tcp4_flow(struct vxlan_tcp4_flow_key k1,\n \n static inline int\n check_vxlan_seq_option(struct gro_vxlan_tcp4_item *item,\n-\t\tstruct tcp_hdr *tcp_hdr,\n+\t\tstruct rte_tcp_hdr *tcp_hdr,\n \t\tuint32_t sent_seq,\n \t\tuint16_t outer_ip_id,\n \t\tuint16_t ip_id,\n@@ -292,7 +292,7 @@ gro_vxlan_tcp4_reassemble(struct rte_mbuf *pkt,\n {\n \tstruct rte_ether_hdr *outer_eth_hdr, *eth_hdr;\n \tstruct rte_ipv4_hdr *outer_ipv4_hdr, *ipv4_hdr;\n-\tstruct tcp_hdr *tcp_hdr;\n+\tstruct rte_tcp_hdr *tcp_hdr;\n \tstruct udp_hdr *udp_hdr;\n \tstruct rte_vxlan_hdr *vxlan_hdr;\n \tuint32_t sent_seq;\n@@ -324,7 +324,7 @@ gro_vxlan_tcp4_reassemble(struct rte_mbuf *pkt,\n \teth_hdr = (struct rte_ether_hdr *)((char *)vxlan_hdr +\n \t\t\tsizeof(struct rte_vxlan_hdr));\n \tipv4_hdr = (struct rte_ipv4_hdr *)((char *)udp_hdr + pkt->l2_len);\n-\ttcp_hdr = (struct tcp_hdr *)((char *)ipv4_hdr + pkt->l3_len);\n+\ttcp_hdr = (struct rte_tcp_hdr *)((char *)ipv4_hdr + pkt->l3_len);\n \n \t/*\n \t * Don't process the packet which has FIN, SYN, RST, PSH, URG,\ndiff --git a/lib/librte_gso/gso_common.h b/lib/librte_gso/gso_common.h\nindex 13c9aeea8..3edd2429b 100644\n--- a/lib/librte_gso/gso_common.h\n+++ b/lib/librte_gso/gso_common.h\n@@ -71,9 +71,9 @@ static inline void\n update_tcp_header(struct rte_mbuf *pkt, uint16_t l4_offset, uint32_t sent_seq,\n \t\tuint8_t non_tail)\n {\n-\tstruct tcp_hdr *tcp_hdr;\n+\tstruct rte_tcp_hdr *tcp_hdr;\n \n-\ttcp_hdr = (struct tcp_hdr *)(rte_pktmbuf_mtod(pkt, char *) +\n+\ttcp_hdr = (struct rte_tcp_hdr *)(rte_pktmbuf_mtod(pkt, char *) +\n \t\t\tl4_offset);\n \ttcp_hdr->sent_seq = rte_cpu_to_be_32(sent_seq);\n \tif (likely(non_tail))\ndiff --git a/lib/librte_gso/gso_tcp4.c b/lib/librte_gso/gso_tcp4.c\nindex ad0cce6f9..ade172ac7 100644\n--- a/lib/librte_gso/gso_tcp4.c\n+++ b/lib/librte_gso/gso_tcp4.c\n@@ -10,7 +10,7 @@ update_ipv4_tcp_headers(struct rte_mbuf *pkt, uint8_t ipid_delta,\n \t\tstruct rte_mbuf **segs, uint16_t nb_segs)\n {\n \tstruct rte_ipv4_hdr *ipv4_hdr;\n-\tstruct tcp_hdr *tcp_hdr;\n+\tstruct rte_tcp_hdr *tcp_hdr;\n \tuint32_t sent_seq;\n \tuint16_t id, tail_idx, i;\n \tuint16_t l3_offset = pkt->l2_len;\n@@ -18,7 +18,7 @@ update_ipv4_tcp_headers(struct rte_mbuf *pkt, uint8_t ipid_delta,\n \n \tipv4_hdr = (struct rte_ipv4_hdr *)(rte_pktmbuf_mtod(pkt, char*) +\n \t\t\tl3_offset);\n-\ttcp_hdr = (struct tcp_hdr *)((char *)ipv4_hdr + pkt->l3_len);\n+\ttcp_hdr = (struct rte_tcp_hdr *)((char *)ipv4_hdr + pkt->l3_len);\n \tid = rte_be_to_cpu_16(ipv4_hdr->packet_id);\n \tsent_seq = rte_be_to_cpu_32(tcp_hdr->sent_seq);\n \ttail_idx = nb_segs - 1;\ndiff --git a/lib/librte_gso/gso_tunnel_tcp4.c b/lib/librte_gso/gso_tunnel_tcp4.c\nindex f5a19bc43..e0384c26d 100644\n--- a/lib/librte_gso/gso_tunnel_tcp4.c\n+++ b/lib/librte_gso/gso_tunnel_tcp4.c\n@@ -10,7 +10,7 @@ update_tunnel_ipv4_tcp_headers(struct rte_mbuf *pkt, uint8_t ipid_delta,\n \t\tstruct rte_mbuf **segs, uint16_t nb_segs)\n {\n \tstruct rte_ipv4_hdr *ipv4_hdr;\n-\tstruct tcp_hdr *tcp_hdr;\n+\tstruct rte_tcp_hdr *tcp_hdr;\n \tuint32_t sent_seq;\n \tuint16_t outer_id, inner_id, tail_idx, i;\n \tuint16_t outer_ipv4_offset, inner_ipv4_offset;\n@@ -32,7 +32,7 @@ update_tunnel_ipv4_tcp_headers(struct rte_mbuf *pkt, uint8_t ipid_delta,\n \t\t\tinner_ipv4_offset);\n \tinner_id = rte_be_to_cpu_16(ipv4_hdr->packet_id);\n \n-\ttcp_hdr = (struct tcp_hdr *)((char *)ipv4_hdr + pkt->l3_len);\n+\ttcp_hdr = (struct rte_tcp_hdr *)((char *)ipv4_hdr + pkt->l3_len);\n \tsent_seq = rte_be_to_cpu_32(tcp_hdr->sent_seq);\n \ttail_idx = nb_segs - 1;\n \ndiff --git a/lib/librte_gso/rte_gso.h b/lib/librte_gso/rte_gso.h\nindex ee879968c..8f65adf1c 100644\n--- a/lib/librte_gso/rte_gso.h\n+++ b/lib/librte_gso/rte_gso.h\n@@ -19,7 +19,7 @@ extern \"C\" {\n \n /* Minimum GSO segment size for TCP based packets. */\n #define RTE_GSO_SEG_SIZE_MIN (sizeof(struct rte_ether_hdr) + \\\n-\t\tsizeof(struct rte_ipv4_hdr) + sizeof(struct tcp_hdr) + 1)\n+\t\tsizeof(struct rte_ipv4_hdr) + sizeof(struct rte_tcp_hdr) + 1)\n \n /* Minimum GSO segment size for UDP based packets. */\n #define RTE_GSO_UDP_SEG_SIZE_MIN (sizeof(struct rte_ether_hdr) + \\\ndiff --git a/lib/librte_net/rte_net.c b/lib/librte_net/rte_net.c\nindex 4a46df58d..268892cc9 100644\n--- a/lib/librte_net/rte_net.c\n+++ b/lib/librte_net/rte_net.c\n@@ -360,8 +360,8 @@ uint32_t rte_net_get_ptype(const struct rte_mbuf *m,\n \t\thdr_lens->l4_len = sizeof(struct udp_hdr);\n \t\treturn pkt_type;\n \t} else if ((pkt_type & RTE_PTYPE_L4_MASK) == RTE_PTYPE_L4_TCP) {\n-\t\tconst struct tcp_hdr *th;\n-\t\tstruct tcp_hdr th_copy;\n+\t\tconst struct rte_tcp_hdr *th;\n+\t\tstruct rte_tcp_hdr th_copy;\n \n \t\tth = rte_pktmbuf_read(m, off, sizeof(*th), &th_copy);\n \t\tif (unlikely(th == NULL))\n@@ -496,8 +496,8 @@ uint32_t rte_net_get_ptype(const struct rte_mbuf *m,\n \t\thdr_lens->inner_l4_len = sizeof(struct udp_hdr);\n \t} else if ((pkt_type & RTE_PTYPE_INNER_L4_MASK) ==\n \t\t\tRTE_PTYPE_INNER_L4_TCP) {\n-\t\tconst struct tcp_hdr *th;\n-\t\tstruct tcp_hdr th_copy;\n+\t\tconst struct rte_tcp_hdr *th;\n+\t\tstruct rte_tcp_hdr th_copy;\n \n \t\tth = rte_pktmbuf_read(m, off, sizeof(*th), &th_copy);\n \t\tif (unlikely(th == NULL))\ndiff --git a/lib/librte_net/rte_net.h b/lib/librte_net/rte_net.h\nindex 2173f95a9..a9cbc1f2c 100644\n--- a/lib/librte_net/rte_net.h\n+++ b/lib/librte_net/rte_net.h\n@@ -114,7 +114,7 @@ rte_net_intel_cksum_flags_prepare(struct rte_mbuf *m, uint64_t ol_flags)\n {\n \tstruct rte_ipv4_hdr *ipv4_hdr;\n \tstruct rte_ipv6_hdr *ipv6_hdr;\n-\tstruct tcp_hdr *tcp_hdr;\n+\tstruct rte_tcp_hdr *tcp_hdr;\n \tstruct udp_hdr *udp_hdr;\n \tuint64_t inner_l3_offset = m->l2_len;\n \n@@ -170,7 +170,7 @@ rte_net_intel_cksum_flags_prepare(struct rte_mbuf *m, uint64_t ol_flags)\n \t\t\t(ol_flags & PKT_TX_TCP_SEG)) {\n \t\tif (ol_flags & PKT_TX_IPV4) {\n \t\t\t/* non-TSO tcp or TSO */\n-\t\t\ttcp_hdr = (struct tcp_hdr *)((char *)ipv4_hdr +\n+\t\t\ttcp_hdr = (struct rte_tcp_hdr *)((char *)ipv4_hdr +\n \t\t\t\t\tm->l3_len);\n \t\t\ttcp_hdr->cksum = rte_ipv4_phdr_cksum(ipv4_hdr,\n \t\t\t\t\tol_flags);\n@@ -178,7 +178,8 @@ rte_net_intel_cksum_flags_prepare(struct rte_mbuf *m, uint64_t ol_flags)\n \t\t\tipv6_hdr = rte_pktmbuf_mtod_offset(m,\n \t\t\t\tstruct rte_ipv6_hdr *, inner_l3_offset);\n \t\t\t/* non-TSO tcp or TSO */\n-\t\t\ttcp_hdr = rte_pktmbuf_mtod_offset(m, struct tcp_hdr *,\n+\t\t\ttcp_hdr = rte_pktmbuf_mtod_offset(m,\n+\t\t\t\t\tstruct rte_tcp_hdr *,\n \t\t\t\t\tinner_l3_offset + m->l3_len);\n \t\t\ttcp_hdr->cksum = rte_ipv6_phdr_cksum(ipv6_hdr,\n \t\t\t\t\tol_flags);\ndiff --git a/lib/librte_net/rte_tcp.h b/lib/librte_net/rte_tcp.h\nindex 91f58987b..4bcda5565 100644\n--- a/lib/librte_net/rte_tcp.h\n+++ b/lib/librte_net/rte_tcp.h\n@@ -23,7 +23,7 @@ extern \"C\" {\n /**\n  * TCP Header\n  */\n-struct tcp_hdr {\n+struct rte_tcp_hdr {\n \tuint16_t src_port;  /**< TCP source port. */\n \tuint16_t dst_port;  /**< TCP destination port. */\n \tuint32_t sent_seq;  /**< TX data sequence number. */\ndiff --git a/lib/librte_pipeline/rte_table_action.c b/lib/librte_pipeline/rte_table_action.c\nindex 1a295a19c..ef9e2fa8c 100644\n--- a/lib/librte_pipeline/rte_table_action.c\n+++ b/lib/librte_pipeline/rte_table_action.c\n@@ -1348,7 +1348,7 @@ pkt_ipv4_work_nat(struct rte_ipv4_hdr *ip,\n {\n \tif (cfg->source_nat) {\n \t\tif (cfg->proto == 0x6) {\n-\t\t\tstruct tcp_hdr *tcp = (struct tcp_hdr *) &ip[1];\n+\t\t\tstruct rte_tcp_hdr *tcp = (struct rte_tcp_hdr *) &ip[1];\n \t\t\tuint16_t ip_cksum, tcp_cksum;\n \n \t\t\tip_cksum = nat_ipv4_checksum_update(ip->hdr_checksum,\n@@ -1387,7 +1387,7 @@ pkt_ipv4_work_nat(struct rte_ipv4_hdr *ip,\n \t\t}\n \t} else {\n \t\tif (cfg->proto == 0x6) {\n-\t\t\tstruct tcp_hdr *tcp = (struct tcp_hdr *) &ip[1];\n+\t\t\tstruct rte_tcp_hdr *tcp = (struct rte_tcp_hdr *) &ip[1];\n \t\t\tuint16_t ip_cksum, tcp_cksum;\n \n \t\t\tip_cksum = nat_ipv4_checksum_update(ip->hdr_checksum,\n@@ -1434,7 +1434,7 @@ pkt_ipv6_work_nat(struct rte_ipv6_hdr *ip,\n {\n \tif (cfg->source_nat) {\n \t\tif (cfg->proto == 0x6) {\n-\t\t\tstruct tcp_hdr *tcp = (struct tcp_hdr *) &ip[1];\n+\t\t\tstruct rte_tcp_hdr *tcp = (struct rte_tcp_hdr *) &ip[1];\n \t\t\tuint16_t tcp_cksum;\n \n \t\t\ttcp_cksum = nat_ipv6_tcp_udp_checksum_update(tcp->cksum,\n@@ -1462,7 +1462,7 @@ pkt_ipv6_work_nat(struct rte_ipv6_hdr *ip,\n \t\t}\n \t} else {\n \t\tif (cfg->proto == 0x6) {\n-\t\t\tstruct tcp_hdr *tcp = (struct tcp_hdr *) &ip[1];\n+\t\t\tstruct rte_tcp_hdr *tcp = (struct rte_tcp_hdr *) &ip[1];\n \t\t\tuint16_t tcp_cksum;\n \n \t\t\ttcp_cksum = nat_ipv6_tcp_udp_checksum_update(tcp->cksum,\ndiff --git a/lib/librte_vhost/virtio_net.c b/lib/librte_vhost/virtio_net.c\nindex 1f5555392..81985232d 100644\n--- a/lib/librte_vhost/virtio_net.c\n+++ b/lib/librte_vhost/virtio_net.c\n@@ -218,7 +218,7 @@ virtio_enqueue_offload(struct rte_mbuf *m_buf, struct virtio_net_hdr *net_hdr)\n \n \t\tswitch (csum_l4) {\n \t\tcase PKT_TX_TCP_CKSUM:\n-\t\t\tnet_hdr->csum_offset = (offsetof(struct tcp_hdr,\n+\t\t\tnet_hdr->csum_offset = (offsetof(struct rte_tcp_hdr,\n \t\t\t\t\t\tcksum));\n \t\t\tbreak;\n \t\tcase PKT_TX_UDP_CKSUM:\n@@ -1015,7 +1015,7 @@ vhost_dequeue_offload(struct virtio_net_hdr *hdr, struct rte_mbuf *m)\n {\n \tuint16_t l4_proto = 0;\n \tvoid *l4_hdr = NULL;\n-\tstruct tcp_hdr *tcp_hdr = NULL;\n+\tstruct rte_tcp_hdr *tcp_hdr = NULL;\n \n \tif (hdr->flags == 0 && hdr->gso_type == VIRTIO_NET_HDR_GSO_NONE)\n \t\treturn;\n@@ -1024,7 +1024,7 @@ vhost_dequeue_offload(struct virtio_net_hdr *hdr, struct rte_mbuf *m)\n \tif (hdr->flags == VIRTIO_NET_HDR_F_NEEDS_CSUM) {\n \t\tif (hdr->csum_start == (m->l2_len + m->l3_len)) {\n \t\t\tswitch (hdr->csum_offset) {\n-\t\t\tcase (offsetof(struct tcp_hdr, cksum)):\n+\t\t\tcase (offsetof(struct rte_tcp_hdr, cksum)):\n \t\t\t\tif (l4_proto == IPPROTO_TCP)\n \t\t\t\t\tm->ol_flags |= PKT_TX_TCP_CKSUM;\n \t\t\t\tbreak;\n",
    "prefixes": [
        "13/15"
    ]
}