get:
Show a patch.

patch:
Update a patch.

put:
Update a patch.

GET /api/patches/87066/?format=api
HTTP 200 OK
Allow: GET, PUT, PATCH, HEAD, OPTIONS
Content-Type: application/json
Vary: Accept

{
    "id": 87066,
    "url": "https://patches.dpdk.org/api/patches/87066/?format=api",
    "web_url": "https://patches.dpdk.org/project/dpdk/patch/20210122094800.197748-9-jiawenwu@trustnetic.com/",
    "project": {
        "id": 1,
        "url": "https://patches.dpdk.org/api/projects/1/?format=api",
        "name": "DPDK",
        "link_name": "dpdk",
        "list_id": "dev.dpdk.org",
        "list_email": "dev@dpdk.org",
        "web_url": "http://core.dpdk.org",
        "scm_url": "git://dpdk.org/dpdk",
        "webscm_url": "http://git.dpdk.org/dpdk",
        "list_archive_url": "https://inbox.dpdk.org/dev",
        "list_archive_url_format": "https://inbox.dpdk.org/dev/{}",
        "commit_url_format": ""
    },
    "msgid": "<20210122094800.197748-9-jiawenwu@trustnetic.com>",
    "list_archive_url": "https://inbox.dpdk.org/dev/20210122094800.197748-9-jiawenwu@trustnetic.com",
    "date": "2021-01-22T09:47:48",
    "name": "[v1,08/20] net/txgbe: add VF device stats and xstats get operation",
    "commit_ref": null,
    "pull_url": null,
    "state": "changes-requested",
    "archived": true,
    "hash": "bd47330044a719b8a19839d19d7ef7237b1ff286",
    "submitter": {
        "id": 1932,
        "url": "https://patches.dpdk.org/api/people/1932/?format=api",
        "name": "Jiawen Wu",
        "email": "jiawenwu@trustnetic.com"
    },
    "delegate": {
        "id": 319,
        "url": "https://patches.dpdk.org/api/users/319/?format=api",
        "username": "fyigit",
        "first_name": "Ferruh",
        "last_name": "Yigit",
        "email": "ferruh.yigit@amd.com"
    },
    "mbox": "https://patches.dpdk.org/project/dpdk/patch/20210122094800.197748-9-jiawenwu@trustnetic.com/mbox/",
    "series": [
        {
            "id": 14902,
            "url": "https://patches.dpdk.org/api/series/14902/?format=api",
            "web_url": "https://patches.dpdk.org/project/dpdk/list/?series=14902",
            "date": "2021-01-22T09:47:41",
            "name": "net/txgbe: add VF driver support",
            "version": 1,
            "mbox": "https://patches.dpdk.org/series/14902/mbox/"
        }
    ],
    "comments": "https://patches.dpdk.org/api/patches/87066/comments/",
    "check": "warning",
    "checks": "https://patches.dpdk.org/api/patches/87066/checks/",
    "tags": {},
    "related": [],
    "headers": {
        "Return-Path": "<dev-bounces@dpdk.org>",
        "X-Original-To": "patchwork@inbox.dpdk.org",
        "Delivered-To": "patchwork@inbox.dpdk.org",
        "Received": [
            "from mails.dpdk.org (mails.dpdk.org [217.70.189.124])\n\tby inbox.dpdk.org (Postfix) with ESMTP id 3FC27A0A0A;\n\tFri, 22 Jan 2021 10:49:15 +0100 (CET)",
            "from [217.70.189.124] (localhost [127.0.0.1])\n\tby mails.dpdk.org (Postfix) with ESMTP id 28B23140E91;\n\tFri, 22 Jan 2021 10:48:13 +0100 (CET)",
            "from smtpbg501.qq.com (smtpbg501.qq.com [203.205.250.101])\n by mails.dpdk.org (Postfix) with ESMTP id A5E46140E55\n for <dev@dpdk.org>; Fri, 22 Jan 2021 10:48:07 +0100 (CET)",
            "from wxdbg.localdomain.com (unknown [183.129.236.74])\n by esmtp6.qq.com (ESMTP) with\n id ; Fri, 22 Jan 2021 17:48:03 +0800 (CST)"
        ],
        "X-QQ-mid": "bizesmtp9t1611308883tyvk28u3f",
        "X-QQ-SSF": "01400000002000C0D000B00A0000000",
        "X-QQ-FEAT": "l6IKqkG+Nbl3QJBwEu+ptZZt3gyrHAXAXHyQLjx2ioKOtafLk/UzP+znZXNji\n OprC1LAEyQflu9OVljG6M9VeuGraU2KSJUUap40r9Cn8eJ8wFC4pzqH1KPpYux5gdEYcgGa\n djf/CbEwPXIfhYSh6pUeZjIbDG6Ms1Bh0OzFkEzmNQy4e6+tEad78ptsmLuhL82RvMald1J\n 9ssN1DwFObsA3LvNW0krjKNS1YVGJKS38PbhWbrpGmJG0HLtF1r837QYXk3C3rUSDfWzGKh\n S87on5RN1PkEvcHjbXahKCwQjwbTm+W/Hht1Fqluctfxx9ziUjwihEopywsMNs2mexp1Sfb\n U7VGfX40ypwXxHDTeE3lf9+WxaMwQ==",
        "X-QQ-GoodBg": "2",
        "From": "Jiawen Wu <jiawenwu@trustnetic.com>",
        "To": "dev@dpdk.org",
        "Cc": "Jiawen Wu <jiawenwu@trustnetic.com>",
        "Date": "Fri, 22 Jan 2021 17:47:48 +0800",
        "Message-Id": "<20210122094800.197748-9-jiawenwu@trustnetic.com>",
        "X-Mailer": "git-send-email 2.27.0",
        "In-Reply-To": "<20210122094800.197748-1-jiawenwu@trustnetic.com>",
        "References": "<20210122094800.197748-1-jiawenwu@trustnetic.com>",
        "MIME-Version": "1.0",
        "Content-Transfer-Encoding": "8bit",
        "X-QQ-SENDSIZE": "520",
        "Feedback-ID": "bizesmtp:trustnetic.com:qybgforeign:qybgforeign7",
        "X-QQ-Bgrelay": "1",
        "Subject": "[dpdk-dev] [PATCH v1 08/20] net/txgbe: add VF device stats and\n xstats get operation",
        "X-BeenThere": "dev@dpdk.org",
        "X-Mailman-Version": "2.1.29",
        "Precedence": "list",
        "List-Id": "DPDK patches and discussions <dev.dpdk.org>",
        "List-Unsubscribe": "<https://mails.dpdk.org/options/dev>,\n <mailto:dev-request@dpdk.org?subject=unsubscribe>",
        "List-Archive": "<http://mails.dpdk.org/archives/dev/>",
        "List-Post": "<mailto:dev@dpdk.org>",
        "List-Help": "<mailto:dev-request@dpdk.org?subject=help>",
        "List-Subscribe": "<https://mails.dpdk.org/listinfo/dev>,\n <mailto:dev-request@dpdk.org?subject=subscribe>",
        "Errors-To": "dev-bounces@dpdk.org",
        "Sender": "\"dev\" <dev-bounces@dpdk.org>"
    },
    "content": "Add VF device stats and extended stats get from reading hardware\nregisters.\n\nSigned-off-by: Jiawen Wu <jiawenwu@trustnetic.com>\n---\n doc/guides/nics/features/txgbe_vf.ini |   2 +\n drivers/net/txgbe/base/txgbe_regs.h   |  23 ++++\n drivers/net/txgbe/base/txgbe_vf.h     |  27 +++++\n drivers/net/txgbe/txgbe_ethdev_vf.c   | 158 ++++++++++++++++++++++++++\n 4 files changed, 210 insertions(+)",
    "diff": "diff --git a/doc/guides/nics/features/txgbe_vf.ini b/doc/guides/nics/features/txgbe_vf.ini\nindex 5c1895d9d..e93a72dc2 100644\n--- a/doc/guides/nics/features/txgbe_vf.ini\n+++ b/doc/guides/nics/features/txgbe_vf.ini\n@@ -20,6 +20,8 @@ Inner L4 checksum    = P\n Packet type parsing  = Y\n Rx descriptor status = Y\n Tx descriptor status = Y\n+Basic stats          = Y\n+Extended stats       = Y\n Multiprocess aware   = Y\n Linux UIO            = Y\n Linux VFIO           = Y\ndiff --git a/drivers/net/txgbe/base/txgbe_regs.h b/drivers/net/txgbe/base/txgbe_regs.h\nindex 052609e3c..2799e5588 100644\n--- a/drivers/net/txgbe/base/txgbe_regs.h\n+++ b/drivers/net/txgbe/base/txgbe_regs.h\n@@ -1698,6 +1698,29 @@ enum txgbe_5tuple_protocol {\n #define TXGBE_REG_RSSTBL   TXGBE_RSSTBL(0)\n #define TXGBE_REG_RSSKEY   TXGBE_RSSKEY(0)\n \n+/*\n+ * read non-rc counters\n+ */\n+#define TXGBE_UPDCNT32(reg, last, cur)                           \\\n+do {                                                             \\\n+\tuint32_t latest = rd32(hw, reg);                         \\\n+\tif (hw->offset_loaded || hw->rx_loaded)\t\t\t \\\n+\t\tlast = 0;\t\t\t\t\t \\\n+\tcur += (latest - last) & UINT_MAX;                       \\\n+\tlast = latest;                                           \\\n+} while (0)\n+\n+#define TXGBE_UPDCNT36(regl, last, cur)                          \\\n+do {                                                             \\\n+\tuint64_t new_lsb = rd32(hw, regl);                       \\\n+\tuint64_t new_msb = rd32(hw, regl + 4);                   \\\n+\tuint64_t latest = ((new_msb << 32) | new_lsb);           \\\n+\tif (hw->offset_loaded || hw->rx_loaded)\t\t\t \\\n+\t\tlast = 0;\t\t\t\t\t \\\n+\tcur += (0x1000000000LL + latest - last) & 0xFFFFFFFFFLL; \\\n+\tlast = latest;                                           \\\n+} while (0)\n+\n /**\n  * register operations\n  **/\ndiff --git a/drivers/net/txgbe/base/txgbe_vf.h b/drivers/net/txgbe/base/txgbe_vf.h\nindex 7c84c6892..70d81ca83 100644\n--- a/drivers/net/txgbe/base/txgbe_vf.h\n+++ b/drivers/net/txgbe/base/txgbe_vf.h\n@@ -10,6 +10,33 @@\n #define TXGBE_VF_MAX_TX_QUEUES\t8\n #define TXGBE_VF_MAX_RX_QUEUES\t8\n \n+struct txgbevf_hw_stats {\n+\tu64 base_vfgprc;\n+\tu64 base_vfgptc;\n+\tu64 base_vfgorc;\n+\tu64 base_vfgotc;\n+\tu64 base_vfmprc;\n+\n+\tstruct{\n+\t\tu64 last_vfgprc;\n+\t\tu64 last_vfgptc;\n+\t\tu64 last_vfgorc;\n+\t\tu64 last_vfgotc;\n+\t\tu64 last_vfmprc;\n+\t\tu64 vfgprc;\n+\t\tu64 vfgptc;\n+\t\tu64 vfgorc;\n+\t\tu64 vfgotc;\n+\t\tu64 vfmprc;\n+\t} qp[8];\n+\n+\tu64 saved_reset_vfgprc;\n+\tu64 saved_reset_vfgptc;\n+\tu64 saved_reset_vfgorc;\n+\tu64 saved_reset_vfgotc;\n+\tu64 saved_reset_vfmprc;\n+};\n+\n s32 txgbe_init_ops_vf(struct txgbe_hw *hw);\n s32 txgbe_start_hw_vf(struct txgbe_hw *hw);\n s32 txgbe_reset_hw_vf(struct txgbe_hw *hw);\ndiff --git a/drivers/net/txgbe/txgbe_ethdev_vf.c b/drivers/net/txgbe/txgbe_ethdev_vf.c\nindex 176865691..cb0b77c38 100644\n--- a/drivers/net/txgbe/txgbe_ethdev_vf.c\n+++ b/drivers/net/txgbe/txgbe_ethdev_vf.c\n@@ -16,6 +16,8 @@\n #include \"txgbe_rxtx.h\"\n \n #define TXGBEVF_PMD_NAME \"rte_txgbevf_pmd\" /* PMD name */\n+static int txgbevf_dev_xstats_get(struct rte_eth_dev *dev,\n+\t\t\t\t  struct rte_eth_xstat *xstats, unsigned int n);\n static int txgbevf_dev_info_get(struct rte_eth_dev *dev,\n \t\t\t\t struct rte_eth_dev_info *dev_info);\n static int  txgbevf_dev_configure(struct rte_eth_dev *dev);\n@@ -24,6 +26,7 @@ static int txgbevf_dev_link_update(struct rte_eth_dev *dev,\n static int txgbevf_dev_close(struct rte_eth_dev *dev);\n static void txgbevf_intr_disable(struct rte_eth_dev *dev);\n static void txgbevf_intr_enable(struct rte_eth_dev *dev);\n+static int txgbevf_dev_stats_reset(struct rte_eth_dev *dev);\n static void txgbevf_configure_msix(struct rte_eth_dev *dev);\n static void txgbevf_remove_mac_addr(struct rte_eth_dev *dev, uint32_t index);\n static void txgbevf_dev_interrupt_handler(void *param);\n@@ -53,6 +56,28 @@ static const struct rte_eth_desc_lim tx_desc_lim = {\n \n static const struct eth_dev_ops txgbevf_eth_dev_ops;\n \n+static const struct rte_txgbe_xstats_name_off rte_txgbevf_stats_strings[] = {\n+\t{\"rx_multicast_packets_0\",\n+\t\t\toffsetof(struct txgbevf_hw_stats, qp[0].vfmprc)},\n+\t{\"rx_multicast_packets_1\",\n+\t\t\toffsetof(struct txgbevf_hw_stats, qp[1].vfmprc)},\n+\t{\"rx_multicast_packets_2\",\n+\t\t\toffsetof(struct txgbevf_hw_stats, qp[2].vfmprc)},\n+\t{\"rx_multicast_packets_3\",\n+\t\t\toffsetof(struct txgbevf_hw_stats, qp[3].vfmprc)},\n+\t{\"rx_multicast_packets_4\",\n+\t\t\toffsetof(struct txgbevf_hw_stats, qp[4].vfmprc)},\n+\t{\"rx_multicast_packets_5\",\n+\t\t\toffsetof(struct txgbevf_hw_stats, qp[5].vfmprc)},\n+\t{\"rx_multicast_packets_6\",\n+\t\t\toffsetof(struct txgbevf_hw_stats, qp[6].vfmprc)},\n+\t{\"rx_multicast_packets_7\",\n+\t\t\toffsetof(struct txgbevf_hw_stats, qp[7].vfmprc)}\n+};\n+\n+#define TXGBEVF_NB_XSTATS (sizeof(rte_txgbevf_stats_strings) /\t\\\n+\t\tsizeof(rte_txgbevf_stats_strings[0]))\n+\n /*\n  * Negotiate mailbox API version with the PF.\n  * After reset API version is always set to the basic one (txgbe_mbox_api_10).\n@@ -160,6 +185,9 @@ eth_txgbevf_dev_init(struct rte_eth_dev *eth_dev)\n \t/* init_mailbox_params */\n \thw->mbx.init_params(hw);\n \n+\t/* Reset the hw statistics */\n+\ttxgbevf_dev_stats_reset(eth_dev);\n+\n \t/* Disable the interrupts for VF */\n \ttxgbevf_intr_disable(eth_dev);\n \n@@ -277,6 +305,131 @@ static struct rte_pci_driver rte_txgbevf_pmd = {\n \t.remove = eth_txgbevf_pci_remove,\n };\n \n+static int txgbevf_dev_xstats_get_names(__rte_unused struct rte_eth_dev *dev,\n+\tstruct rte_eth_xstat_name *xstats_names, unsigned int limit)\n+{\n+\tunsigned int i;\n+\n+\tif (limit < TXGBEVF_NB_XSTATS && xstats_names != NULL)\n+\t\treturn -ENOMEM;\n+\n+\tif (xstats_names != NULL)\n+\t\tfor (i = 0; i < TXGBEVF_NB_XSTATS; i++)\n+\t\t\tsnprintf(xstats_names[i].name,\n+\t\t\t\tsizeof(xstats_names[i].name),\n+\t\t\t\t\"%s\", rte_txgbevf_stats_strings[i].name);\n+\treturn TXGBEVF_NB_XSTATS;\n+}\n+\n+static void\n+txgbevf_update_stats(struct rte_eth_dev *dev)\n+{\n+\tstruct txgbe_hw *hw = TXGBE_DEV_HW(dev);\n+\tstruct txgbevf_hw_stats *hw_stats = (struct txgbevf_hw_stats *)\n+\t\t\t  TXGBE_DEV_STATS(dev);\n+\tunsigned int i;\n+\n+\tfor (i = 0; i < dev->data->nb_rx_queues; i++) {\n+\t\t/* Good Rx packet, include VF loopback */\n+\t\tTXGBE_UPDCNT32(TXGBE_QPRXPKT(i),\n+\t\thw_stats->qp[i].last_vfgprc, hw_stats->qp[i].vfgprc);\n+\n+\t\t/* Good Rx octets, include VF loopback */\n+\t\tTXGBE_UPDCNT36(TXGBE_QPRXOCTL(i),\n+\t\thw_stats->qp[i].last_vfgorc, hw_stats->qp[i].vfgorc);\n+\n+\t\t/* Rx Multicst Packet */\n+\t\tTXGBE_UPDCNT32(TXGBE_QPRXMPKT(i),\n+\t\thw_stats->qp[i].last_vfmprc, hw_stats->qp[i].vfmprc);\n+\t}\n+\thw->rx_loaded = 0;\n+\n+\tfor (i = 0; i < dev->data->nb_tx_queues; i++) {\n+\t\t/* Good Tx packet, include VF loopback */\n+\t\tTXGBE_UPDCNT32(TXGBE_QPTXPKT(i),\n+\t\thw_stats->qp[i].last_vfgptc, hw_stats->qp[i].vfgptc);\n+\n+\t\t/* Good Tx octets, include VF loopback */\n+\t\tTXGBE_UPDCNT36(TXGBE_QPTXOCTL(i),\n+\t\thw_stats->qp[i].last_vfgotc, hw_stats->qp[i].vfgotc);\n+\t}\n+\thw->offset_loaded = 0;\n+}\n+\n+static int\n+txgbevf_dev_xstats_get(struct rte_eth_dev *dev, struct rte_eth_xstat *xstats,\n+\t\t       unsigned int n)\n+{\n+\tstruct txgbevf_hw_stats *hw_stats = (struct txgbevf_hw_stats *)\n+\t\t\tTXGBE_DEV_STATS(dev);\n+\tunsigned int i;\n+\n+\tif (n < TXGBEVF_NB_XSTATS)\n+\t\treturn TXGBEVF_NB_XSTATS;\n+\n+\ttxgbevf_update_stats(dev);\n+\n+\tif (!xstats)\n+\t\treturn 0;\n+\n+\t/* Extended stats */\n+\tfor (i = 0; i < TXGBEVF_NB_XSTATS; i++) {\n+\t\txstats[i].id = i;\n+\t\txstats[i].value = *(uint64_t *)(((char *)hw_stats) +\n+\t\t\trte_txgbevf_stats_strings[i].offset);\n+\t}\n+\n+\treturn TXGBEVF_NB_XSTATS;\n+}\n+\n+static int\n+txgbevf_dev_stats_get(struct rte_eth_dev *dev, struct rte_eth_stats *stats)\n+{\n+\tstruct txgbevf_hw_stats *hw_stats = (struct txgbevf_hw_stats *)\n+\t\t\t  TXGBE_DEV_STATS(dev);\n+\tuint32_t i;\n+\n+\ttxgbevf_update_stats(dev);\n+\n+\tif (stats == NULL)\n+\t\treturn -EINVAL;\n+\n+\tstats->ipackets = 0;\n+\tstats->ibytes = 0;\n+\tstats->opackets = 0;\n+\tstats->obytes = 0;\n+\n+\tfor (i = 0; i < 8; i++) {\n+\t\tstats->ipackets += hw_stats->qp[i].vfgprc;\n+\t\tstats->ibytes += hw_stats->qp[i].vfgorc;\n+\t\tstats->opackets += hw_stats->qp[i].vfgptc;\n+\t\tstats->obytes += hw_stats->qp[i].vfgotc;\n+\t}\n+\n+\treturn 0;\n+}\n+\n+static int\n+txgbevf_dev_stats_reset(struct rte_eth_dev *dev)\n+{\n+\tstruct txgbevf_hw_stats *hw_stats = (struct txgbevf_hw_stats *)\n+\t\t\tTXGBE_DEV_STATS(dev);\n+\tuint32_t i;\n+\n+\t/* Sync HW register to the last stats */\n+\ttxgbevf_dev_stats_get(dev, NULL);\n+\n+\t/* reset HW current stats*/\n+\tfor (i = 0; i < 8; i++) {\n+\t\thw_stats->qp[i].vfgprc = 0;\n+\t\thw_stats->qp[i].vfgorc = 0;\n+\t\thw_stats->qp[i].vfgptc = 0;\n+\t\thw_stats->qp[i].vfgotc = 0;\n+\t}\n+\n+\treturn 0;\n+}\n+\n static int\n txgbevf_dev_info_get(struct rte_eth_dev *dev,\n \t\t     struct rte_eth_dev_info *dev_info)\n@@ -710,6 +863,11 @@ txgbevf_dev_interrupt_handler(void *param)\n static const struct eth_dev_ops txgbevf_eth_dev_ops = {\n \t.dev_configure        = txgbevf_dev_configure,\n \t.link_update          = txgbevf_dev_link_update,\n+\t.stats_get            = txgbevf_dev_stats_get,\n+\t.xstats_get           = txgbevf_dev_xstats_get,\n+\t.stats_reset          = txgbevf_dev_stats_reset,\n+\t.xstats_reset         = txgbevf_dev_stats_reset,\n+\t.xstats_get_names     = txgbevf_dev_xstats_get_names,\n \t.dev_infos_get        = txgbevf_dev_info_get,\n \t.rx_queue_intr_enable = txgbevf_dev_rx_queue_intr_enable,\n \t.rx_queue_intr_disable = txgbevf_dev_rx_queue_intr_disable,\n",
    "prefixes": [
        "v1",
        "08/20"
    ]
}