get:
Show a patch.

patch:
Update a patch.

put:
Update a patch.

GET /api/patches/82278/?format=api
HTTP 200 OK
Allow: GET, PUT, PATCH, HEAD, OPTIONS
Content-Type: application/json
Vary: Accept

{
    "id": 82278,
    "url": "https://patches.dpdk.org/api/patches/82278/?format=api",
    "web_url": "https://patches.dpdk.org/project/dts/patch/20201027073645.38197-3-yufengx.mo@intel.com/",
    "project": {
        "id": 3,
        "url": "https://patches.dpdk.org/api/projects/3/?format=api",
        "name": "DTS",
        "link_name": "dts",
        "list_id": "dts.dpdk.org",
        "list_email": "dts@dpdk.org",
        "web_url": "",
        "scm_url": "git://dpdk.org/tools/dts",
        "webscm_url": "http://git.dpdk.org/tools/dts/",
        "list_archive_url": "https://inbox.dpdk.org/dts",
        "list_archive_url_format": "https://inbox.dpdk.org/dts/{}",
        "commit_url_format": ""
    },
    "msgid": "<20201027073645.38197-3-yufengx.mo@intel.com>",
    "list_archive_url": "https://inbox.dpdk.org/dts/20201027073645.38197-3-yufengx.mo@intel.com",
    "date": "2020-10-27T07:36:45",
    "name": "[V4,2/2] flexible_rxd: add mpls test cases",
    "commit_ref": null,
    "pull_url": null,
    "state": "superseded",
    "archived": false,
    "hash": "7e57be0463240bdb5bcb1759bfa418131ebaaaf7",
    "submitter": {
        "id": 1342,
        "url": "https://patches.dpdk.org/api/people/1342/?format=api",
        "name": "Yufen.Mo",
        "email": "yufengx.mo@intel.com"
    },
    "delegate": {
        "id": 1248,
        "url": "https://patches.dpdk.org/api/users/1248/?format=api",
        "username": "zhaoyanc",
        "first_name": "Zhaoyan",
        "last_name": "Chen",
        "email": "zhaoyan.chen@intel.com"
    },
    "mbox": "https://patches.dpdk.org/project/dts/patch/20201027073645.38197-3-yufengx.mo@intel.com/mbox/",
    "series": [
        {
            "id": 13364,
            "url": "https://patches.dpdk.org/api/series/13364/?format=api",
            "web_url": "https://patches.dpdk.org/project/dts/list/?series=13364",
            "date": "2020-10-27T07:36:43",
            "name": "flexible_rxd: add test cases for iavf/mpls",
            "version": 4,
            "mbox": "https://patches.dpdk.org/series/13364/mbox/"
        }
    ],
    "comments": "https://patches.dpdk.org/api/patches/82278/comments/",
    "check": "pending",
    "checks": "https://patches.dpdk.org/api/patches/82278/checks/",
    "tags": {},
    "related": [],
    "headers": {
        "Return-Path": "<dts-bounces@dpdk.org>",
        "X-Original-To": "patchwork@inbox.dpdk.org",
        "Delivered-To": "patchwork@inbox.dpdk.org",
        "Received": [
            "from dpdk.org (dpdk.org [92.243.14.124])\n\tby inbox.dpdk.org (Postfix) with ESMTP id 8D2CDA04B5;\n\tTue, 27 Oct 2020 08:41:31 +0100 (CET)",
            "from [92.243.14.124] (localhost [127.0.0.1])\n\tby dpdk.org (Postfix) with ESMTP id 854CE2BF4;\n\tTue, 27 Oct 2020 08:41:30 +0100 (CET)",
            "from mga01.intel.com (mga01.intel.com [192.55.52.88])\n by dpdk.org (Postfix) with ESMTP id 5FCD02BF4\n for <dts@dpdk.org>; Tue, 27 Oct 2020 08:41:27 +0100 (CET)",
            "from orsmga003.jf.intel.com ([10.7.209.27])\n by fmsmga101.fm.intel.com with ESMTP/TLS/ECDHE-RSA-AES256-GCM-SHA384;\n 27 Oct 2020 00:41:26 -0700",
            "from dpdk-moyufen06.sh.intel.com ([10.67.116.208])\n by orsmga003.jf.intel.com with ESMTP; 27 Oct 2020 00:41:25 -0700"
        ],
        "IronPort-SDR": [
            "\n Gw2vgd0fp7sVNqqHQ5InJjFEH1ghYj/9YQ9+9MzE7CyEgyw4LLFqaSbF3svKc62EzyTLbaET3u\n FD2Y9WuiQxjw==",
            "\n fTxTrxQfHgFA7Xp0yI6Lo2VyzbS3q7FJvhR6kOPmKT8KQaKjzkUoWeWtW9OSIyDh9Vp8SFfMoD\n 862hvMcHZwng=="
        ],
        "X-IronPort-AV": [
            "E=McAfee;i=\"6000,8403,9786\"; a=\"185778616\"",
            "E=Sophos;i=\"5.77,423,1596524400\"; d=\"scan'208\";a=\"185778616\"",
            "E=Sophos;i=\"5.77,423,1596524400\"; d=\"scan'208\";a=\"318139814\""
        ],
        "X-Amp-Result": "SKIPPED(no attachment in message)",
        "X-Amp-File-Uploaded": "False",
        "X-ExtLoop1": "1",
        "From": "yufengmx <yufengx.mo@intel.com>",
        "To": "dts@dpdk.org,\n\tlihongx.ma@intel.com,\n\tzhaoyan.chen@intel.com",
        "Cc": "yufengmx <yufengx.mo@intel.com>",
        "Date": "Tue, 27 Oct 2020 15:36:45 +0800",
        "Message-Id": "<20201027073645.38197-3-yufengx.mo@intel.com>",
        "X-Mailer": "git-send-email 2.21.0",
        "In-Reply-To": "<20201027073645.38197-1-yufengx.mo@intel.com>",
        "References": "<20201027073645.38197-1-yufengx.mo@intel.com>",
        "MIME-Version": "1.0",
        "Content-Transfer-Encoding": "8bit",
        "Subject": "[dts] [PATCH V4 2/2] flexible_rxd: add mpls test cases",
        "X-BeenThere": "dts@dpdk.org",
        "X-Mailman-Version": "2.1.15",
        "Precedence": "list",
        "List-Id": "test suite reviews and discussions <dts.dpdk.org>",
        "List-Unsubscribe": "<https://mails.dpdk.org/options/dts>,\n <mailto:dts-request@dpdk.org?subject=unsubscribe>",
        "List-Archive": "<http://mails.dpdk.org/archives/dts/>",
        "List-Post": "<mailto:dts@dpdk.org>",
        "List-Help": "<mailto:dts-request@dpdk.org?subject=help>",
        "List-Subscribe": "<https://mails.dpdk.org/listinfo/dts>,\n <mailto:dts-request@dpdk.org?subject=subscribe>",
        "Errors-To": "dts-bounces@dpdk.org",
        "Sender": "\"dts\" <dts-bounces@dpdk.org>"
    },
    "content": "add mpls test cases.\n\nSigned-off-by: yufengmx <yufengx.mo@intel.com>\n---\n tests/TestSuite_flexible_rxd.py | 255 ++++++++++++--------------------\n 1 file changed, 96 insertions(+), 159 deletions(-)",
    "diff": "diff --git a/tests/TestSuite_flexible_rxd.py b/tests/TestSuite_flexible_rxd.py\nindex b69d8bb..135256c 100644\n--- a/tests/TestSuite_flexible_rxd.py\n+++ b/tests/TestSuite_flexible_rxd.py\n@@ -1,4 +1,4 @@\n-# Copyright (c) <2019> Intel Corporation\n+# Copyright (c) <2020> Intel Corporation\n # All rights reserved.\n #\n # Redistribution and use in source and binary forms, with or without\n@@ -33,246 +33,183 @@\n \n import time\n from test_case import TestCase\n-from packet import Packet\n-from pmd_output import PmdOutput\n+from flexible_common import FlexibleRxdBase\n \n \n-class TestFlexibleRxd(TestCase):\n+class TestFlexibleRxd(TestCase, FlexibleRxdBase):\n+\n+    def preset_compilation(self):\n+        \"\"\"\n+        Modify the dpdk code.\n+        \"\"\"\n+        cmds = [\n+            \"cd \" + self.dut.base_dir,\n+            \"cp ./app/test-pmd/util.c .\",\n+            r\"\"\"sed -i \\\"/if dpdk_conf.has('RTE_NET_IXGBE')/i\\if dpdk_conf.has('RTE_NET_ICE')\\n\\tdeps += 'net_ice'\\nendif\\\" app/test-pmd/meson.build\"\"\",\n+            \"sed -i '/#include <rte_flow.h>/a\\#include <rte_pmd_ice.h>' app/test-pmd/util.c\",\n+            \"sed -i '/if (ol_flags & PKT_RX_TIMESTAMP)/i\\                rte_net_ice_dump_proto_xtr_metadata(mb);' app/test-pmd/util.c\",\n+        ]\n+        [self.dut.send_expect(cmd, \"#\", 15, alt_session=True) for cmd in cmds]\n+        self.dut.build_install_dpdk(self.dut.target)\n+\n+    def restore_compilation(self):\n+        \"\"\"\n+         Resume editing operation.\n+        \"\"\"\n+        cmds = [\n+            \"cd \" + self.dut.base_dir,\n+            \"cp ./util.c ./app/test-pmd/\",\n+            \"sed -i '/pmd_ice/d' app/test-pmd/meson.build\",\n+            \"rm -rf  ./util.c\",\n+        ]\n+        [self.dut.send_expect(cmd, \"#\", 15, alt_session=True) for cmd in cmds]\n+        self.dut.build_install_dpdk(self.dut.target)\n \n     def set_up_all(self):\n         \"\"\"\n         run at the start of each test suite.\n         \"\"\"\n-        self.verify(self.nic in [\"columbiaville_25g\", \"columbiaville_100g\", \"foxville\"],\n-                    \"flexible rxd only supports CVL NIC.\")\n-        self.nb_core = 2\n-        self.pkg_count = 1\n+        support_nics = [\n+            \"columbiaville_25g\",\n+            \"columbiaville_100g\",\n+            \"foxville\",\n+        ]\n+        self.verify(self.nic in support_nics,\n+            \"flexible rxd only supports CVL NIC.\")\n         self.dut_ports = self.dut.get_ports(self.nic)\n         self.verify(len(self.dut_ports) >= 1, \"Insufficient ports for testing\")\n-        self.pci_info = self.dut.ports_info[0]['pci']\n         self.ports_socket = self.dut.get_numa_id(self.dut_ports[0])\n         self.cores = self.dut.get_core_list(\"1S/3C/1T\", socket=self.ports_socket)\n-        self.verify(len(self.cores) >= 3, \"The machine has too few cores.\")\n-        self.tx_interface = self.tester.get_interface(self.tester.get_local_port(self.dut_ports[0]))\n+        self.verify(len(self.cores) >= 3, \"Insufficient cpu cores for testing\")\n+        self.preset_compilation()\n+        self.pci = self.dut.ports_info[0]['pci']\n         self.dst_mac = self.dut.get_mac_address(self.dut_ports[0])\n-        self.src_mac = self.tester.get_mac(self.tester.get_local_port(self.dut_ports[0]))\n-        self.pmdout = PmdOutput(self.dut)\n-        self.prepare_test_pmd()\n+        self.init_base(self.pci, self.dst_mac, 'pf')\n \n-    def set_up(self):\n-        \"\"\"\n-        Run before each test case.\n-        \"\"\"\n-        pass\n-\n-    def prepare_test_pmd(self):\n+    def tear_down_all(self):\n         \"\"\"\n-        Modify the dpdk code.\n+        Run after each test suite.\n         \"\"\"\n-        self.dut.send_expect(\"cp ./app/test-pmd/util.c .\", \"#\", 15)\n-        self.dut.send_expect(\"cp ./app/test-pmd/meson.build /root/\", \"#\", 15)\n-        pattern = r\"/if dpdk_conf.has('RTE_LIBRTE_IXGBE_PMD')/i\\if dpdk_conf.has('RTE_LIBRTE_ICE_PMD')\\n\\tdeps += 'pmd_ice'\\nendif\"\n-        self.dut.send_expect(f'sed -i \"{pattern}\" app/test-pmd/meson.build', \"#\", 15)\n-        self.dut.send_expect(\n-            \"sed -i '/#include <rte_flow.h>/a\\#include <rte_pmd_ice.h>' app/test-pmd/util.c\", \"#\", 15)\n-        self.dut.send_expect(\n-            \"sed -i '/if (ol_flags & PKT_RX_TIMESTAMP)/i\\                rte_net_ice_dump_proto_xtr_metadata(mb);' app/test-pmd/util.c\", \"#\", 15)\n-        self.dut.build_install_dpdk(self.dut.target)\n+        self.restore_compilation()\n \n-    def restory_test_pmd(self):\n+    def set_up(self):\n         \"\"\"\n-         Resume editing operation.\n+        Run before each test case.\n         \"\"\"\n-        self.dut.send_expect(\"\\cp ./util.c ./app/test-pmd/\", \"#\", 15)\n-        self.dut.send_expect(\"\\cp /root/meson.build ./app/test-pmd/\", \"#\", 15)\n-        self.dut.send_expect(\"rm -rf  /root/meson.build\", \"#\", 15)\n-        self.dut.send_expect(\"rm -rf  ./util.c\", \"#\", 15)\n-        self.dut.build_install_dpdk(self.dut.target)\n-\n-    def start_testpmd(self, proto_xdr):\n-        \"\"\"\n-        start testpmd\n-        \"\"\"\n-        num = '4' if self.nic == 'foxville' else '32'\n-        para = '--rxq=%s --txq=%s --portmask=0x1 --nb-cores=%d' % (num, num, self.nb_core)\n-        self.pmdout.start_testpmd(\"1S/3C/1T\", param=para, ports=[self.pci_info], port_options={self.pci_info: 'proto_xtr=%s' % proto_xdr})\n-        self.pmdout.execute_cmd(\"set verbose 1\", \"testpmd> \", 120)\n-        self.pmdout.execute_cmd(\"set fwd io\", \"testpmd> \", 120)\n-        self.pmdout.execute_cmd(\"set promisc all off\", \"testpmd> \", 120)\n-        self.pmdout.execute_cmd(\"clear port stats all\", \"testpmd> \", 120)\n-        self.pmdout.execute_cmd(\"start\", \"testpmd> \", 120)\n+        pass\n \n-    def verify_result(self, fields_list, out, mesg):\n+    def tear_down(self):\n         \"\"\"\n-        Validation results\n+        Run after each test case.\n         \"\"\"\n-        for field in fields_list:\n-            self.verify(field in out, mesg)\n-        self.dut.send_expect(\"quit\", \"#\", 15)\n-\n-    def send_pkts_and_get_output(self, pkts_str):\n-        pkt = Packet(pkts_str)\n-        pkt.send_pkt(self.tester, tx_port=self.tx_interface, count=self.pkg_count, timeout=30)\n-        time.sleep(3)\n-        out_info = self.dut.get_session_output(timeout=3)\n-        return out_info\n+        self.close_testpmd()\n+        time.sleep(2)\n+        self.dut.kill_all()\n \n     def test_check_single_VLAN_fields_in_RXD_8021Q(self):\n         \"\"\"\n         Check single VLAN fields in RXD (802.1Q)\n         \"\"\"\n-        self.start_testpmd(\"vlan\")\n-        pkts_str = 'Ether(src=\"%s\", dst=\"%s\", type=0x8100)/Dot1Q(prio=1,vlan=23)/IP()/UDP()/DNS()' % (self.src_mac, self.dst_mac)\n-        out = self.send_pkts_and_get_output(pkts_str)\n-        mesg = \"The packet does not carry a VLAN tag.\"\n-        fields_list = [\"vlan\"]\n-        self.verify_result(fields_list, out, mesg)\n+        self.check_single_VLAN_fields_in_RXD_8021Q()\n \n     def test_check_single_VLAN_fields_in_RXD_8021ad(self):\n         \"\"\"\n         Check single VLAN fields in RXD (802.1ad)\n         \"\"\"\n-        self.start_testpmd(\"vlan\")\n-        pkts_str = 'Ether(src=\"%s\", dst=\"%s\", type=0x88A8)/Dot1Q(prio=1,vlan=23)/IP()/UDP()/DNS()' % (self.src_mac, self.dst_mac)\n-        out = self.send_pkts_and_get_output(pkts_str)\n-        mesg = \"stag result is not expected (stag=1:0:23)\"\n-        fields_list = [\"stag=1:0:23\"]\n-        self.verify_result(fields_list, out, mesg)\n+        self.check_single_VLAN_fields_in_RXD_8021ad()\n \n     def test_check_double_VLAN_fields_in_RXD_8021Q_1_VLAN_tag(self):\n         \"\"\"\n         Check double VLAN fields in RXD (802.1Q) only 1 VLAN tag\n         \"\"\"\n-        self.start_testpmd(\"vlan\")\n-        pkts_str = 'Ether(src=\"%s\", dst=\"%s\", type=0x9100)/Dot1Q(prio=1,vlan=23)/IP()/UDP()/DNS()' % (self.src_mac, self.dst_mac)\n-        out = self.send_pkts_and_get_output(pkts_str)\n-        mesg = \"stag result is not expected (stag=1:0:23)\"\n-        fields_list = [\"stag=1:0:23\"]\n-        self.verify_result(fields_list, out, mesg)\n+        self.check_double_VLAN_fields_in_RXD_8021Q_1_VLAN_tag()\n \n     def test_check_double_VLAN_fields_in_RXD_8021Q_2_VLAN_tag(self):\n         \"\"\"\n         Check double VLAN fields in RXD (802.1Q) 2 VLAN tags\n         \"\"\"\n-        self.start_testpmd(\"vlan\")\n-        pkts_str = 'Ether(src=\"%s\", dst=\"%s\", type=0x9100)/Dot1Q(prio=1,vlan=23)/Dot1Q(prio=4,vlan=56)/IP()/UDP()/DNS()' % (self.src_mac, self.dst_mac)\n-        out = self.send_pkts_and_get_output(pkts_str)\n-        mesg = \"There are no related fields in the received VLAN packet\"\n-        fields_list = [\"stag=1:0:23\", \"ctag=4:0:56\"]\n-        self.verify_result(fields_list, out, mesg)\n+        self.check_double_VLAN_fields_in_RXD_8021Q_2_VLAN_tag()\n \n     def test_check_double_VLAN_fields_in_RXD_8021ad(self):\n         \"\"\"\n         Check double VLAN fields in RXD (802.1ad)\n         \"\"\"\n-        self.start_testpmd(\"vlan\")\n-        pkts_str = 'Ether(src=\"%s\", dst=\"%s\", type=0x88A8)/Dot1Q(prio=1,vlan=23)/Dot1Q(prio=4,vlan=56)/IP()/UDP()/DNS()' % (self.src_mac, self.dst_mac)\n-        out = self.send_pkts_and_get_output(pkts_str)\n-        mesg = \"There are no related fields in the received VLAN packet\"\n-        fields_list = [\"stag=1:0:23\", \"ctag=4:0:56\"]\n-        self.verify_result(fields_list, out, mesg)\n+        self.check_double_VLAN_fields_in_RXD_8021ad()\n \n     def test_check_IPv4_fields_in_RXD(self):\n         \"\"\"\n         Check IPv4 fields in RXD\n         \"\"\"\n-        self.start_testpmd(\"ipv4\")\n-        pkts_str = 'Ether(src=\"%s\", dst=\"%s\")/IP(tos=23, ttl=98)/UDP()/Raw(load=\"XXXXXXXXXX\")' % (self.src_mac, self.dst_mac)\n-        out = self.send_pkts_and_get_output(pkts_str)\n-        mesg = \"There are no related fields in the received IPV4 packet\"\n-        fields_list = [\"ver=4\", \"hdrlen=5\", \"tos=23\", \"ttl=98\", \"proto=17\"]\n-        self.verify_result(fields_list, out, mesg)\n+        self.check_IPv4_fields_in_RXD()\n \n     def test_check_IPv6_fields_in_RXD(self):\n         \"\"\"\n         Check IPv6 fields in RXD\n         \"\"\"\n-        self.start_testpmd(\"ipv6\")\n-        pkts_str = 'Ether(src=\"%s\", dst=\"%s\")/IPv6(tc=12,hlim=34,fl=0x98765)/UDP()/Raw(load=\"XXXXXXXXXX\")' % (self.src_mac, self.dst_mac)\n-        out = self.send_pkts_and_get_output(pkts_str)\n-        mesg = \"There are no related fields in the received IPV6 packet\"\n-        fields_list = [\"ver=6\", \"tc=12\", \"flow_hi4=0x9\", \"nexthdr=17\", \"hoplimit=34\"]\n-        self.verify_result(fields_list, out, mesg)\n+        self.check_IPv6_fields_in_RXD()\n \n     def test_check_IPv6_flow_field_in_RXD(self):\n         \"\"\"\n         Check IPv6 flow field in RXD\n         \"\"\"\n-        self.start_testpmd(\"ipv6_flow\")\n-        pkts_str = 'Ether(src=\"%s\", dst=\"%s\")/IPv6(tc=12,hlim=34,fl=0x98765)/UDP()/Raw(load=\"XXXXXXXXXX\")' % (self.src_mac, self.dst_mac)\n-        out = self.send_pkts_and_get_output(pkts_str)\n-        mesg = \"There are no related fields in the received IPV6_flow packet\"\n-        fields_list = [\"ver=6\", \"tc=12\", \"flow=0x98765\"]\n-        self.verify_result(fields_list, out, mesg)\n+        self.check_IPv6_flow_field_in_RXD()\n \n     def test_check_TCP_fields_in_IPv4_in_RXD(self):\n         \"\"\"\n         Check TCP fields in IPv4 in RXD\n         \"\"\"\n-        self.start_testpmd(\"tcp\")\n-        pkts_str = 'Ether(src=\"%s\", dst=\"%s\")/IP()/TCP(flags=\"AS\")/Raw(load=\"XXXXXXXXXX\")' % (self.src_mac, self.dst_mac)\n-        out = self.send_pkts_and_get_output(pkts_str)\n-        mesg = \"There are no related fields in the received TCP packet\"\n-        fields_list = [\"doff=5\", \"flags=AS\"]\n-        self.verify_result(fields_list, out, mesg)\n+        self.check_TCP_fields_in_IPv4_in_RXD()\n \n     def test_check_TCP_fields_in_IPv6_in_RXD(self):\n         \"\"\"\n         Check TCP fields in IPv6 in RXD\n         \"\"\"\n-        self.start_testpmd(\"tcp\")\n-        pkts_str = 'Ether(src=\"%s\", dst=\"%s\")/IPv6()/TCP(flags=\"S\")/Raw(load=\"XXXXXXXXXX\")' % (self.src_mac, self.dst_mac)\n-        out = self.send_pkts_and_get_output(pkts_str)\n-        mesg = \"There are no related fields in the received TCP packet\"\n-        fields_list = [\"doff=5\", \"flags=S\"]\n-        self.verify_result(fields_list, out, mesg)\n+        self.check_TCP_fields_in_IPv6_in_RXD()\n \n     def test_check_IPv4_IPv6_TCP_fields_in_RXD_on_specific_queues(self):\n         \"\"\"\n         Check IPv4, IPv6, TCP fields in RXD on specific queues\n         \"\"\"\n-        self.start_testpmd(\"'[(2):ipv4,(3):ipv6,(4):tcp]'\")\n-        self.dut.send_expect(\n-            \"flow create 0 ingress pattern eth dst is %s / ipv4 src is 192.168.0.1 dst is 192.168.0.2 tos is 23 ttl is 98 / end actions queue index 2 / end\" % self.dst_mac, \"created\")\n-        self.dut.send_expect(\n-            \"flow create 0 ingress pattern eth / ipv6 src is 2001::3 dst is 2001::4 tc is 12 / end actions queue index 3 / end\", \"created\")\n-        self.dut.send_expect(\n-            \"flow create 0 ingress pattern eth dst is %s / ipv4 src is 192.168.0.1 dst is 192.168.0.2 / tcp src is 25 dst is 23 / end actions queue index 4 / end\" % self.dst_mac, \"created\")\n+        self.check_IPv4_IPv6_TCP_fields_in_RXD_on_specific_queues()\n+\n+    def test_check_testpmd_use_different_parameters(self):\n+        \"\"\"\n+        Check testpmd use different parameters start\n+        \"\"\"\n+        self.check_testpmd_use_different_parameters()\n+\n+    def test_check_ip_offset_of_ip(self):\n+        \"\"\"\n+        Check ip offset of ip\n+        \"\"\"\n+        self.check_ip_offset_of_ip()\n \n-        # send IPv4\n-        pkts_str = 'Ether(dst=\"%s\")/IP(src=\"192.168.0.1\",dst=\"192.168.0.2\",tos=23,ttl=98)/UDP()/Raw(load=\"XXXXXXXXXX\")' % (self.dst_mac)\n-        out1 = self.send_pkts_and_get_output(pkts_str)\n-        mesg1 = \"There are no relevant fields in the received IPv4 packet.\"\n-        fields_list1 = [\"Receive queue=0x2\", \"ver=4\", \"hdrlen=5\", \"tos=23\", \"ttl=98\", \"proto=17\"]\n-        for field1 in fields_list1:\n-            self.verify(field1 in out1, mesg1)\n+    def test_check_ip_offset_with_vlan(self):\n+        \"\"\"\n+        check ip offset with vlan\n+        \"\"\"\n+        self.check_ip_offset_with_vlan()\n \n-        # send IPv6\n-        pkts_str = \"Ether(src='%s', dst='%s')/IPv6(src='2001::3', dst='2001::4', tc=12,hlim=34,fl=0x98765)/UDP()/Raw(load='XXXXXXXXXX')\" % (self.src_mac, self.dst_mac)\n-        out2 = self.send_pkts_and_get_output(pkts_str)\n-        mesg2 = \"There are no relevant fields in the received IPv6 packet.\"\n-        fields_list2 = [\"Receive queue=0x3\", \"ver=6\", \"tc=12\", \"flow_hi4=0x9\", \"nexthdr=17\", \"hoplimit=34\"]\n-        for field2 in fields_list2:\n-            self.verify(field2 in out2, mesg2)\n+    def test_check_ip_offset_with_2_vlan_tag(self):\n+        \"\"\"\n+        check offset with 2 vlan tag\n+        \"\"\"\n+        self.check_ip_offset_with_2_vlan_tag()\n \n-        # send TCP\n-        pkts_str = 'Ether(dst=\"%s\")/IP(src=\"192.168.0.1\", dst=\"192.168.0.2\")/TCP(flags=\"AS\", dport=23, sport=25)/Raw(load=\"XXXXXXXXXX\")' % (self.dst_mac)\n-        out3 = self.send_pkts_and_get_output(pkts_str)\n-        mesg3 = \"There are no relevant fields in the received TCP packet.\"\n-        fields_list3 = [\"Receive queue=0x4\", \"doff=5\", \"flags=AS\"]\n-        for field3 in fields_list3:\n-            self.verify(field3 in out3, mesg3)\n-        self.dut.send_expect(\"quit\", \"#\", 15)\n+    def test_check_ip_offset_with_multi_MPLS(self):\n+        \"\"\"\n+        check ip offset with multi MPLS\n+        \"\"\"\n+        self.check_ip_offset_with_multi_MPLS()\n \n-    def tear_down(self):\n+    def test_check_ip_offset_with_multi_MPLS_with_vlan_tag(self):\n         \"\"\"\n-        Run after each test case.\n+        check ip offset with multi MPLS with vlan tag\n         \"\"\"\n-        self.dut.kill_all()\n-        time.sleep(2)\n+        self.check_ip_offset_with_multi_MPLS_with_vlan_tag()\n \n-    def tear_down_all(self):\n+    def test_check_ip_offset_with_multi_MPLS_with_2_vlan_tag(self):\n         \"\"\"\n-        Run after each test suite.\n+        check ip offset with multi MPLS with 2 vlan tag\n         \"\"\"\n-        self.restory_test_pmd()\n+        self.check_ip_offset_with_multi_MPLS_with_2_vlan_tag()\n",
    "prefixes": [
        "V4",
        "2/2"
    ]
}