get:
Show a patch.

patch:
Update a patch.

put:
Update a patch.

GET /api/patches/73425/?format=api
HTTP 200 OK
Allow: GET, PUT, PATCH, HEAD, OPTIONS
Content-Type: application/json
Vary: Accept

{
    "id": 73425,
    "url": "https://patches.dpdk.org/api/patches/73425/?format=api",
    "web_url": "https://patches.dpdk.org/project/dpdk/patch/1594126742-17505-1-git-send-email-viacheslavo@mellanox.com/",
    "project": {
        "id": 1,
        "url": "https://patches.dpdk.org/api/projects/1/?format=api",
        "name": "DPDK",
        "link_name": "dpdk",
        "list_id": "dev.dpdk.org",
        "list_email": "dev@dpdk.org",
        "web_url": "http://core.dpdk.org",
        "scm_url": "git://dpdk.org/dpdk",
        "webscm_url": "http://git.dpdk.org/dpdk",
        "list_archive_url": "https://inbox.dpdk.org/dev",
        "list_archive_url_format": "https://inbox.dpdk.org/dev/{}",
        "commit_url_format": ""
    },
    "msgid": "<1594126742-17505-1-git-send-email-viacheslavo@mellanox.com>",
    "list_archive_url": "https://inbox.dpdk.org/dev/1594126742-17505-1-git-send-email-viacheslavo@mellanox.com",
    "date": "2020-07-07T12:59:01",
    "name": "[v2,1/2] mbuf: introduce accurate packet Tx scheduling",
    "commit_ref": null,
    "pull_url": null,
    "state": "superseded",
    "archived": true,
    "hash": "d64a4742770b3fa8c89866b74be2de33ccbc3aa1",
    "submitter": {
        "id": 1102,
        "url": "https://patches.dpdk.org/api/people/1102/?format=api",
        "name": "Slava Ovsiienko",
        "email": "viacheslavo@mellanox.com"
    },
    "delegate": null,
    "mbox": "https://patches.dpdk.org/project/dpdk/patch/1594126742-17505-1-git-send-email-viacheslavo@mellanox.com/mbox/",
    "series": [
        {
            "id": 10848,
            "url": "https://patches.dpdk.org/api/series/10848/?format=api",
            "web_url": "https://patches.dpdk.org/project/dpdk/list/?series=10848",
            "date": "2020-07-07T12:59:01",
            "name": "[v2,1/2] mbuf: introduce accurate packet Tx scheduling",
            "version": 2,
            "mbox": "https://patches.dpdk.org/series/10848/mbox/"
        }
    ],
    "comments": "https://patches.dpdk.org/api/patches/73425/comments/",
    "check": "warning",
    "checks": "https://patches.dpdk.org/api/patches/73425/checks/",
    "tags": {},
    "related": [],
    "headers": {
        "Return-Path": "<dev-bounces@dpdk.org>",
        "X-Original-To": "patchwork@inbox.dpdk.org",
        "Delivered-To": "patchwork@inbox.dpdk.org",
        "Received": [
            "from dpdk.org (dpdk.org [92.243.14.124])\n\tby inbox.dpdk.org (Postfix) with ESMTP id 240A3A00BE;\n\tTue,  7 Jul 2020 14:59:11 +0200 (CEST)",
            "from [92.243.14.124] (localhost [127.0.0.1])\n\tby dpdk.org (Postfix) with ESMTP id AB7841DD65;\n\tTue,  7 Jul 2020 14:59:10 +0200 (CEST)",
            "from mellanox.co.il (mail-il-dmz.mellanox.com [193.47.165.129])\n by dpdk.org (Postfix) with ESMTP id E9A161DB6E\n for <dev@dpdk.org>; Tue,  7 Jul 2020 14:59:08 +0200 (CEST)",
            "from Internal Mail-Server by MTLPINE1 (envelope-from\n viacheslavo@mellanox.com) with SMTP; 7 Jul 2020 15:59:07 +0300",
            "from pegasus12.mtr.labs.mlnx (pegasus12.mtr.labs.mlnx\n [10.210.17.40])\n by labmailer.mlnx (8.13.8/8.13.8) with ESMTP id 067Cx6jf021023;\n Tue, 7 Jul 2020 15:59:06 +0300",
            "from pegasus12.mtr.labs.mlnx (localhost [127.0.0.1])\n by pegasus12.mtr.labs.mlnx (8.14.7/8.14.7) with ESMTP id 067Cx7TQ017547;\n Tue, 7 Jul 2020 12:59:07 GMT",
            "(from viacheslavo@localhost)\n by pegasus12.mtr.labs.mlnx (8.14.7/8.14.7/Submit) id 067Cx5tb017546;\n Tue, 7 Jul 2020 12:59:05 GMT"
        ],
        "X-Authentication-Warning": "pegasus12.mtr.labs.mlnx: viacheslavo set sender to\n viacheslavo@mellanox.com using -f",
        "From": "Viacheslav Ovsiienko <viacheslavo@mellanox.com>",
        "To": "dev@dpdk.org",
        "Cc": "matan@mellanox.com, rasland@mellanox.com, olivier.matz@6wind.com,\n bernard.iremonger@intel.com, thomas@mellanox.net",
        "Date": "Tue,  7 Jul 2020 12:59:01 +0000",
        "Message-Id": "<1594126742-17505-1-git-send-email-viacheslavo@mellanox.com>",
        "X-Mailer": "git-send-email 1.8.3.1",
        "In-Reply-To": "<1591771085-24959-1-git-send-email-viacheslavo@mellanox.com>",
        "References": "<1591771085-24959-1-git-send-email-viacheslavo@mellanox.com>",
        "Subject": "[dpdk-dev] [PATCH v2 1/2] mbuf: introduce accurate packet Tx\n\tscheduling",
        "X-BeenThere": "dev@dpdk.org",
        "X-Mailman-Version": "2.1.15",
        "Precedence": "list",
        "List-Id": "DPDK patches and discussions <dev.dpdk.org>",
        "List-Unsubscribe": "<https://mails.dpdk.org/options/dev>,\n <mailto:dev-request@dpdk.org?subject=unsubscribe>",
        "List-Archive": "<http://mails.dpdk.org/archives/dev/>",
        "List-Post": "<mailto:dev@dpdk.org>",
        "List-Help": "<mailto:dev-request@dpdk.org?subject=help>",
        "List-Subscribe": "<https://mails.dpdk.org/listinfo/dev>,\n <mailto:dev-request@dpdk.org?subject=subscribe>",
        "Errors-To": "dev-bounces@dpdk.org",
        "Sender": "\"dev\" <dev-bounces@dpdk.org>"
    },
    "content": "There is the requirement on some networks for precise traffic timing\nmanagement. The ability to send (and, generally speaking, receive)\nthe packets at the very precisely specified moment of time provides\nthe opportunity to support the connections with Time Division\nMultiplexing using the contemporary general purpose NIC without involving\nan auxiliary hardware. For example, the supporting of O-RAN Fronthaul\ninterface is one of the promising features for potentially usage of the\nprecise time management for the egress packets.\n\nThe main objective of this RFC is to specify the way how applications\ncan provide the moment of time at what the packet transmission must be\nstarted and to describe in preliminary the supporting this feature from\nmlx5 PMD side.\n\nThe new dynamic timestamp field is proposed, it provides some timing\ninformation, the units and time references (initial phase) are not\nexplicitly defined but are maintained always the same for a given port.\nSome devices allow to query rte_eth_read_clock() that will return\nthe current device timestamp. The dynamic timestamp flag tells whether\nthe field contains actual timestamp value. For the packets being sent\nthis value can be used by PMD to schedule packet sending.\n\nAfter PKT_RX_TIMESTAMP flag and fixed timestamp field deprecation\nand obsoleting, these dynamic flag and field will be used to manage\nthe timestamps on receiving datapath as well.\n\nWhen PMD sees the \"rte_dynfield_timestamp\" set on the packet being sent\nit tries to synchronize the time of packet appearing on the wire with\nthe specified packet timestamp. If the specified one is in the past it\nshould be ignored, if one is in the distant future it should be capped\nwith some reasonable value (in range of seconds). These specific cases\n(\"too late\" and \"distant future\") can be optionally reported via\ndevice xstats to assist applications to detect the time-related\nproblems.\n\nThere is no any packet reordering according timestamps is supposed,\nneither within packet burst, nor between packets, it is an entirely\napplication responsibility to generate packets and its timestamps\nin desired order. The timestamps can be put only in the first packet\nin the burst providing the entire burst scheduling.\n\nPMD reports the ability to synchronize packet sending on timestamp\nwith new offload flag:\n\nThis is palliative and is going to be replaced with new eth_dev API\nabout reporting/managing the supported dynamic flags and its related\nfeatures. This API would break ABI compatibility and can't be introduced\nat the moment, so is postponed to 20.11.\n\nFor testing purposes it is proposed to update testpmd \"txonly\"\nforwarding mode routine. With this update testpmd application generates\nthe packets and sets the dynamic timestamps according to specified time\npattern if it sees the \"rte_dynfield_timestamp\" is registered.\n\nThe new testpmd command is proposed to configure sending pattern:\n\nset tx_times <burst_gap>,<intra_gap>\n\n<intra_gap> - the delay between the packets within the burst\n              specified in the device clock units. The number\n              of packets in the burst is defined by txburst parameter\n\n<burst_gap> - the delay between the bursts in the device clock units\n\nAs the result the bursts of packet will be transmitted with specific\ndelays between the packets within the burst and specific delay between\nthe bursts. The rte_eth_get_clock is supposed to be engaged to get the\ncurrent device clock value and provide the reference for the timestamps.\n\nSigned-off-by: Viacheslav Ovsiienko <viacheslavo@mellanox.com>\n---\n lib/librte_ethdev/rte_ethdev.c |  1 +\n lib/librte_ethdev/rte_ethdev.h |  4 ++++\n lib/librte_mbuf/rte_mbuf_dyn.h | 32 ++++++++++++++++++++++++++++++++\n 3 files changed, 37 insertions(+)",
    "diff": "diff --git a/lib/librte_ethdev/rte_ethdev.c b/lib/librte_ethdev/rte_ethdev.c\nindex 8e10a6f..02157d5 100644\n--- a/lib/librte_ethdev/rte_ethdev.c\n+++ b/lib/librte_ethdev/rte_ethdev.c\n@@ -162,6 +162,7 @@ struct rte_eth_xstats_name_off {\n \tRTE_TX_OFFLOAD_BIT2STR(UDP_TNL_TSO),\n \tRTE_TX_OFFLOAD_BIT2STR(IP_TNL_TSO),\n \tRTE_TX_OFFLOAD_BIT2STR(OUTER_UDP_CKSUM),\n+\tRTE_TX_OFFLOAD_BIT2STR(SEND_ON_TIMESTAMP),\n };\n \n #undef RTE_TX_OFFLOAD_BIT2STR\ndiff --git a/lib/librte_ethdev/rte_ethdev.h b/lib/librte_ethdev/rte_ethdev.h\nindex a49242b..6f6454c 100644\n--- a/lib/librte_ethdev/rte_ethdev.h\n+++ b/lib/librte_ethdev/rte_ethdev.h\n@@ -1178,6 +1178,10 @@ struct rte_eth_conf {\n /** Device supports outer UDP checksum */\n #define DEV_TX_OFFLOAD_OUTER_UDP_CKSUM  0x00100000\n \n+/** Device supports send on timestamp */\n+#define DEV_TX_OFFLOAD_SEND_ON_TIMESTAMP 0x00200000\n+\n+\n #define RTE_ETH_DEV_CAPA_RUNTIME_RX_QUEUE_SETUP 0x00000001\n /**< Device supports Rx queue setup after device started*/\n #define RTE_ETH_DEV_CAPA_RUNTIME_TX_QUEUE_SETUP 0x00000002\ndiff --git a/lib/librte_mbuf/rte_mbuf_dyn.h b/lib/librte_mbuf/rte_mbuf_dyn.h\nindex 96c3631..834acdd 100644\n--- a/lib/librte_mbuf/rte_mbuf_dyn.h\n+++ b/lib/librte_mbuf/rte_mbuf_dyn.h\n@@ -250,4 +250,36 @@ int rte_mbuf_dynflag_lookup(const char *name,\n #define RTE_MBUF_DYNFIELD_METADATA_NAME \"rte_flow_dynfield_metadata\"\n #define RTE_MBUF_DYNFLAG_METADATA_NAME \"rte_flow_dynflag_metadata\"\n \n+/**\n+ * The timestamp dynamic field provides some timing information, the\n+ * units and time references (initial phase) are not explicitly defined\n+ * but are maintained always the same for a given port. Some devices allow\n+ * to query rte_eth_read_clock() that will return the current device\n+ * timestamp. The dynamic Tx timestamp flag tells whether the field contains\n+ * actual timestamp value. For the packets being sent this value can be\n+ * used by PMD to schedule packet sending.\n+ *\n+ * After PKT_RX_TIMESTAMP flag and fixed timestamp field deprecation\n+ * and obsoleting, the dedicated Rx timestamp flag is supposed to be\n+ * introduced and the shared timestamp field will be used to handle the\n+ * timestamps on receiving datapath as well. Having the dedicated flags\n+ * for Rx/Tx timstamps allows applications not to perform explicit flags\n+ * reset on forwaring and not to promote received timestamps to the\n+ * transmitting datapath by default.\n+ *\n+ * When PMD sees the RTE_MBUF_DYNFLAG_TX_TIMESTAMP_NAME flag set on the\n+ * packet being sent it tries to synchronize the time of packet appearing\n+ * on the wire with the specified packet timestamp. If the specified one\n+ * is in the past it should be ignored, if one is in the distant future\n+ * it should be capped with some reasonable value (in range of seconds).\n+ *\n+ * There is no any packet reordering according timestamps is supposed,\n+ * neither within packet burst, nor between packets, it is an entirely\n+ * application responsibility to generate packets and its timestamps in\n+ * desired order. The timestamps might be put only in the first packet in\n+ * the burst providing the entire burst scheduling.\n+ */\n+#define RTE_MBUF_DYNFIELD_TIMESTAMP_NAME \"rte_dynfield_timestamp\"\n+#define RTE_MBUF_DYNFLAG_TX_TIMESTAMP_NAME \"rte_dynflag_tx_timestamp\"\n+\n #endif\n",
    "prefixes": [
        "v2",
        "1/2"
    ]
}