Show patches with: none      |   133335 patches
« 1 21016 1017 10181333 1334 »
Patch Series A/R/T S/W/F Date Submitter Delegate State
[dpdk-dev,v3,8/8] doc: update app eventdev options 2 - - 1-1 2017-12-11 Pavan Nikhilesh jerin Accepted
[dpdk-dev,v3,7/8] app/eventdev: add service core configuration 1 - - 1-1 2017-12-11 Pavan Nikhilesh jerin Accepted
[dpdk-dev,v3,6/8] app/eventdev: add event Rx adapter setup 1 - - 1-1 2017-12-11 Pavan Nikhilesh jerin Accepted
[dpdk-dev,v3,5/8] app/eventdev: add ethernet device tear down 1 - - 1-1 2017-12-11 Pavan Nikhilesh jerin Accepted
[dpdk-dev,v3,4/8] app/eventdev: add ethernet device setup helpers 1 - - 1-1 2017-12-11 Pavan Nikhilesh jerin Accepted
[dpdk-dev,v3,3/8] app/eventdev: add pktmbuf pool for ethdev 1 - - 2-- 2017-12-11 Pavan Nikhilesh jerin Accepted
[dpdk-dev,v3,2/8] app/eventdev: modify app setup to support ethdev 1 - - 2-- 2017-12-11 Pavan Nikhilesh jerin Accepted
[dpdk-dev,v3,1/8] app/eventdev: add ethernet device producer option 1 - - 2-- 2017-12-11 Pavan Nikhilesh jerin Accepted
[dpdk-dev] eventdev: use links_map to unlink queues - - - 11- 2017-12-11 Pavan Nikhilesh Superseded
[dpdk-dev,v2,2/2] crypto/mrvl: update MRVL CRYPTO PMD documentation 1 - - 2-- 2017-12-11 Tomasz Duszynski pdelarag Superseded
[dpdk-dev,v2,1/2] crypto/mrvl: sync compilation with musdk-17.10 1 - - 2-- 2017-12-11 Tomasz Duszynski pdelarag Superseded
[dpdk-dev,v3,2/2] examples/ipsec-secgw: add target queues in flow actions - - - 2-- 2017-12-11 Nélio Laranjeiro pdelarag Superseded
[dpdk-dev,v3,1/2] examples/ipsec-secgw: fix missing ingress flow attribute 2 - - 2-- 2017-12-11 Nélio Laranjeiro pdelarag Superseded
[dpdk-dev] net/failsafe: add Rx interrupts - - - -11 2017-12-11 Moti Haimovsky fyigit Superseded
[dpdk-dev,v2,8/8] doc: update app eventdev options 2 - - 1-1 2017-12-11 Pavan Nikhilesh jerin Superseded
[dpdk-dev,v2,7/8] app/eventdev: add service core configuration - - - 1-1 2017-12-11 Pavan Nikhilesh jerin Superseded
[dpdk-dev,v2,6/8] app/eventdev: add event Rx adapter setup 1 - - 1-1 2017-12-11 Pavan Nikhilesh jerin Superseded
[dpdk-dev,v2,5/8] app/eventdev: add ethernet device tear down 1 - - 2-- 2017-12-11 Pavan Nikhilesh jerin Superseded
[dpdk-dev,v2,4/8] app/eventdev: add ethernet device setup helpers 1 - - 2-- 2017-12-11 Pavan Nikhilesh jerin Superseded
[dpdk-dev,v2,3/8] app/eventdev: add pktmbuf pool for ethdev 1 - - 2-- 2017-12-11 Pavan Nikhilesh jerin Superseded
[dpdk-dev,v2,2/8] app/eventdev: modify app setup to support ethdev 1 - - 2-- 2017-12-11 Pavan Nikhilesh jerin Superseded
[dpdk-dev,v2,1/8] app/eventdev: add ethernet device producer option 1 - - 2-- 2017-12-11 Pavan Nikhilesh jerin Superseded
[dpdk-dev] examples: remove unnecessary function calls - 1 - 11- 2017-12-11 Prashant Bhole tmonjalo Accepted
[dpdk-dev,v2,4/4] net/virtio: remove redundant macro definitions for vector Rx - 1 - 2-- 2017-12-11 Tiwei Bie yliu Accepted
[dpdk-dev,v2,3/4] net/virtio: remove a redundant macro definition for ctrl vq - 1 - 2-- 2017-12-11 Tiwei Bie yliu Accepted
[dpdk-dev,v2,2/4] net/virtio: fix typo in LRO support - 1 - 2-- 2017-12-11 Tiwei Bie yliu Accepted
[dpdk-dev,v2,1/4] net/virtio: fix vector Rx break caused by rxq flushing - 1 1 2-- 2017-12-11 Tiwei Bie yliu Accepted
[dpdk-dev] net/i40e: i40e support mac loopback - - 1 11- 2017-12-11 Peng, Yuan helin_zhang Changes Requested
[dpdk-dev,v7] net/i40e: determine number of queues per VF during run time - - 1 11- 2017-12-11 Peng, Yuan helin_zhang Not Applicable
[dpdk-dev,v4] mbuf: fix mbuf free performance with non atomic refcnt - - - 11- 2017-12-10 Hanoch Haim (hhaim) tmonjalo Not Applicable
[dpdk-dev,v2] mbuf: check sanity of data_len and pkt_len as well 1 - - 2-- 2017-12-09 Ilya Matveychikov tmonjalo Accepted
[dpdk-dev,v2] mbuf: cleanup rte_pktmbuf_lastseg function 1 - - 2-- 2017-12-09 Ilya Matveychikov tmonjalo Accepted
[dpdk-dev,v2] lib/cmdline: init CLI parsing memory - - - 2-- 2017-12-09 Xueming Li tmonjalo Changes Requested
[dpdk-dev] eventdev: fix doxygen comments 1 - - 2-- 2017-12-09 Pavan Nikhilesh jerin Accepted
[dpdk-dev,v2,3/3] logs: remove log level config option - 1 - 2-- 2017-12-09 Pavan Nikhilesh tmonjalo Accepted
[dpdk-dev,v2,2/3] eal: update default log levels - 1 - 2-- 2017-12-09 Pavan Nikhilesh tmonjalo Accepted
[dpdk-dev,v2,1/3] eal: update legacy modules dynamic logs regex - 2 - 2-- 2017-12-09 Pavan Nikhilesh tmonjalo Accepted
[dpdk-dev,v2,2/2] event/octeontx: fix Rx adapter port id mapping 1 - - 2-- 2017-12-09 Pavan Nikhilesh fyigit Superseded
[dpdk-dev,v2,1/2] net/octeontx: add channel to port id mapping 1 - - 2-- 2017-12-09 Pavan Nikhilesh fyigit Superseded
[dpdk-dev] igb_uio: allow multi-process access - - - 2-- 2017-12-09 Xiao Wang tmonjalo Superseded
[dpdk-dev] net/fm10k: fix logical port delete 1 - - 2-- 2017-12-09 Xiao Wang helin_zhang Accepted
[dpdk-dev] pktgen-stats/latency: fix RTE version in lib includes - - - 11- 2017-12-08 Georgios Katsikas Not Applicable
[dpdk-dev,PATCHv2,4/4] dpdk: add __experimental tag to appropriate api calls - - - 1-1 2017-12-08 Neil Horman Superseded
[dpdk-dev,PATCHv2,3/4] Makefiles: Add experimental tag check and warnings to trigger on use - - - 1-1 2017-12-08 Neil Horman Superseded
[dpdk-dev,PATCHv2,2/4] compat: Add __experimental macro - - - 2-- 2017-12-08 Neil Horman Superseded
[dpdk-dev,PATCHv2,1/4] buildtools: Add tool to check EXPERIMENTAL api exports - - - 2-- 2017-12-08 Neil Horman Superseded
[dpdk-dev,RFC] mbuf: remove control mbuf - - - 2-- 2017-12-08 Olivier Matz tmonjalo Superseded
[dpdk-dev,v4] mbuf: fix mbuf free performance with non atomic refcnt - - - 2-- 2017-12-08 Olivier Matz tmonjalo Accepted
[dpdk-dev,v1] lib/cmdline: init parse result memory - - - 11- 2017-12-08 Adrien Mazarguil Not Applicable
[dpdk-dev,2/2] test: add a testcase for dynamic logs - - - 2-- 2017-12-08 Olivier Matz tmonjalo Accepted
[dpdk-dev,1/2] test: rely on dynamic log level to display hexdumps - - - 2-- 2017-12-08 Olivier Matz tmonjalo Accepted
[dpdk-dev] app/testpmd: register a specific log type 1 - - 2-- 2017-12-08 Olivier Matz tmonjalo Accepted
[dpdk-dev] net: update licence for network headers - - - 2-- 2017-12-08 Olivier Matz tmonjalo Superseded
[dpdk-dev,RFC,4/4] eal: set affinity for control threads - - - 1-1 2017-12-08 Olivier Matz tmonjalo Superseded
[dpdk-dev,RFC,3/4] eal: set name when creating a control thread - - - 1-1 2017-12-08 Olivier Matz tmonjalo Superseded
[dpdk-dev,RFC,2/4] eal: new function to create control threads - - - 1-1 2017-12-08 Olivier Matz tmonjalo Superseded
[dpdk-dev,RFC,1/4] eal: use sizeof to avoid a double use of a define - - - 1-1 2017-12-08 Olivier Matz tmonjalo Superseded
[dpdk-dev] pdump: fix error code check when creating/canceling pthread 1 - - 2-- 2017-12-08 Olivier Matz tmonjalo Accepted
[dpdk-dev] vhost: fix error code check when creating pthread - 2 - 2-- 2017-12-08 Olivier Matz yliu Accepted
[dpdk-dev] vhost_user: protect active rings from async ring changes - - - -11 2017-12-08 Maxime Coquelin Superseded
[dpdk-dev,RFC,v1,9/9] doc/scapy: add scapy how-to guide - - - -11 2017-12-08 Xueming Li RFC
[dpdk-dev,RFC,v1,8/9] test/expect: add expect test scripts - - - -11 2017-12-08 Xueming Li RFC
[dpdk-dev,RFC,v1,7/9] app/testpmd: add pktgen engine scapy commands - - - -11 2017-12-08 Xueming Li RFC
[dpdk-dev,RFC,v1,6/9] app/testpmd: add pktgen forwarding engine - - - -11 2017-12-08 Xueming Li RFC
[dpdk-dev,RFC,v1,5/9] app/testpmd: add python command - - - 11- 2017-12-08 Xueming Li RFC
[dpdk-dev,RFC,v1,4/9] lib/python: add embedded python lib - - - 11- 2017-12-08 Xueming Li RFC
[dpdk-dev,RFC,v1,3/9] test: update batch loading test - - - 2-- 2017-12-08 Xueming Li RFC
[dpdk-dev,RFC,v1,2/9] app/testpmd: support command echo in CLI batch loading - - - 2-- 2017-12-08 Xueming Li RFC
[dpdk-dev,RFC,v1,1/9] lib/cmdline: add echo support in batch loading from file - - - 1-1 2017-12-08 Xueming Li RFC
[dpdk-dev,v6,2/2] app/testpmd: add configuration for input set - - - 2-- 2017-12-08 Xing, Beilei helin_zhang Superseded
[dpdk-dev,v6,1/2] net/i40e: support input set configuration 1 - - 2-- 2017-12-08 Xing, Beilei helin_zhang Superseded
[dpdk-dev,v3,4/4] change NXP dpaa code License text to SPDX tags - - - 2-- 2017-12-08 Hemant Agrawal tmonjalo Superseded
[dpdk-dev,v3,3/4] change NXP dpaa2 code License text to SPDX tags - - - 2-- 2017-12-08 Hemant Agrawal tmonjalo Superseded
[dpdk-dev,v3,2/4] change root makefile license to SPDX tag - - - 2-- 2017-12-08 Hemant Agrawal tmonjalo Superseded
[dpdk-dev,v3,1/4] Introducing SPDX License Identifiers 1 - - 11- 2017-12-08 Hemant Agrawal tmonjalo Superseded
[dpdk-dev,v2] net/i40e: fix VF Tx error issue 1 - - 2-- 2017-12-08 Xing, Beilei helin_zhang Accepted
[dpdk-dev,v1] lib/cmdline: init parse result memory - - - 2-- 2017-12-08 Xueming Li tmonjalo Superseded
[dpdk-dev,14/14] net/dpaa2: prefetch the parse results from next fd - - - 2-- 2017-12-08 Hemant Agrawal fyigit Accepted
[dpdk-dev,13/14] net/dpaa2: optimize Tx path for best case - - - 11- 2017-12-08 Hemant Agrawal fyigit Accepted
[dpdk-dev,12/14] net/dpaa2: optimize Rx path packet parsing - - - 11- 2017-12-08 Hemant Agrawal fyigit Accepted
[dpdk-dev,11/14] net/dpaa2: add parse function for LX2 device - - - 11- 2017-12-08 Hemant Agrawal fyigit Accepted
[dpdk-dev,10/14] net/dpaa2: add VLAN insert offload - - - 2-- 2017-12-08 Hemant Agrawal fyigit Accepted
[dpdk-dev,09/14] net/dpaa2: align the frame size in MTU set - - - 2-- 2017-12-08 Hemant Agrawal fyigit Accepted
[dpdk-dev,08/14] net/dpaa2: add Rx queue count support - - - 2-- 2017-12-08 Hemant Agrawal fyigit Accepted
[dpdk-dev,07/14] bus/fslmc: add qman HW fq query count API - - - 2-- 2017-12-08 Hemant Agrawal fyigit Accepted
[dpdk-dev,06/14] bus/fslmc: add braces for pointers in macros - - - 2-- 2017-12-08 Hemant Agrawal fyigit Accepted
[dpdk-dev,05/14] bus/fslmc: expose platform soc value register - - - 2-- 2017-12-08 Hemant Agrawal fyigit Accepted
[dpdk-dev,04/14] net/dpaa2: link status check as driver flag - - - 2-- 2017-12-08 Hemant Agrawal fyigit Accepted
[dpdk-dev,03/14] bus/fslmc: add support for dynamic iova for DPAA2 devices - - - 2-- 2017-12-08 Hemant Agrawal fyigit Accepted
[dpdk-dev,02/14] drivers: change the deprecated memseg physaddr to iova 1 - - 2-- 2017-12-08 Hemant Agrawal fyigit Accepted
[dpdk-dev,01/14] bus/fslmc: fix the cplusplus macro closure - - - 2-- 2017-12-08 Hemant Agrawal fyigit Accepted
[dpdk-dev,v7] net/i40e: determine number of queues per VF during run time 1 - - 2-- 2017-12-08 Wei Dai helin_zhang Superseded
[dpdk-dev] net/vmxnet3: convert to 3-Clause BSD License 2 - - 2-- 2017-12-07 Shrikrishna Khare fyigit Accepted
[dpdk-dev,v3,5/5] bbdev: documentation - - - 2-- 2017-12-07 Mokhtar, Amr tmonjalo Superseded
[dpdk-dev,v3,4/5] bbdev: sample app - - - 11- 2017-12-07 Mokhtar, Amr tmonjalo Superseded
[dpdk-dev,v3,3/5] bbdev: test applications - - - 11- 2017-12-07 Mokhtar, Amr tmonjalo Superseded
[dpdk-dev,v3,2/5] bbdev: PMD drivers (null/turbo_sw) - - - 2-- 2017-12-07 Mokhtar, Amr tmonjalo Superseded
[dpdk-dev,v3,1/5] bbdev: librte_bbdev library - - - -11 2017-12-07 Mokhtar, Amr tmonjalo Superseded
[dpdk-dev,13/13] doc: update example eventdev_pipeline 1 - - 2-- 2017-12-07 Pavan Nikhilesh jerin Superseded
[dpdk-dev,12/13] examples/eventdev_pipeline_sw_pmd: rename example - - - 2-- 2017-12-07 Pavan Nikhilesh jerin Superseded
« 1 21016 1017 10181333 1334 »