get:
Show a patch.

patch:
Update a patch.

put:
Update a patch.

GET /api/patches/53589/?format=api
HTTP 200 OK
Allow: GET, PUT, PATCH, HEAD, OPTIONS
Content-Type: application/json
Vary: Accept

{
    "id": 53589,
    "url": "http://patches.dpdk.org/api/patches/53589/?format=api",
    "web_url": "http://patches.dpdk.org/project/dpdk/patch/20190521161315.25500-4-olivier.matz@6wind.com/",
    "project": {
        "id": 1,
        "url": "http://patches.dpdk.org/api/projects/1/?format=api",
        "name": "DPDK",
        "link_name": "dpdk",
        "list_id": "dev.dpdk.org",
        "list_email": "dev@dpdk.org",
        "web_url": "http://core.dpdk.org",
        "scm_url": "git://dpdk.org/dpdk",
        "webscm_url": "http://git.dpdk.org/dpdk",
        "list_archive_url": "https://inbox.dpdk.org/dev",
        "list_archive_url_format": "https://inbox.dpdk.org/dev/{}",
        "commit_url_format": ""
    },
    "msgid": "<20190521161315.25500-4-olivier.matz@6wind.com>",
    "list_archive_url": "https://inbox.dpdk.org/dev/20190521161315.25500-4-olivier.matz@6wind.com",
    "date": "2019-05-21T16:13:03",
    "name": "[03/15] net: add rte prefix to ether structures",
    "commit_ref": null,
    "pull_url": null,
    "state": "accepted",
    "archived": true,
    "hash": "7038a1abbd674fb4673ca22eeed5c4324cc3a5d3",
    "submitter": {
        "id": 8,
        "url": "http://patches.dpdk.org/api/people/8/?format=api",
        "name": "Olivier Matz",
        "email": "olivier.matz@6wind.com"
    },
    "delegate": {
        "id": 319,
        "url": "http://patches.dpdk.org/api/users/319/?format=api",
        "username": "fyigit",
        "first_name": "Ferruh",
        "last_name": "Yigit",
        "email": "ferruh.yigit@amd.com"
    },
    "mbox": "http://patches.dpdk.org/project/dpdk/patch/20190521161315.25500-4-olivier.matz@6wind.com/mbox/",
    "series": [
        {
            "id": 4733,
            "url": "http://patches.dpdk.org/api/series/4733/?format=api",
            "web_url": "http://patches.dpdk.org/project/dpdk/list/?series=4733",
            "date": "2019-05-21T16:13:01",
            "name": "prefix network structures",
            "version": 1,
            "mbox": "http://patches.dpdk.org/series/4733/mbox/"
        }
    ],
    "comments": "http://patches.dpdk.org/api/patches/53589/comments/",
    "check": "warning",
    "checks": "http://patches.dpdk.org/api/patches/53589/checks/",
    "tags": {},
    "related": [],
    "headers": {
        "Return-Path": "<dev-bounces@dpdk.org>",
        "X-Original-To": "patchwork@dpdk.org",
        "Delivered-To": "patchwork@dpdk.org",
        "Received": [
            "from [92.243.14.124] (localhost [127.0.0.1])\n\tby dpdk.org (Postfix) with ESMTP id E5B979E4;\n\tTue, 21 May 2019 18:37:54 +0200 (CEST)",
            "from proxy.6wind.com (host.76.145.23.62.rev.coltfrance.com\n\t[62.23.145.76]) by dpdk.org (Postfix) with ESMTP id C4A644C80\n\tfor <dev@dpdk.org>; Tue, 21 May 2019 18:13:24 +0200 (CEST)",
            "from glumotte.dev.6wind.com. (unknown [10.16.0.195])\n\tby proxy.6wind.com (Postfix) with ESMTP id 6E1E02B83D6;\n\tTue, 21 May 2019 18:13:24 +0200 (CEST)"
        ],
        "From": "Olivier Matz <olivier.matz@6wind.com>",
        "To": "dev@dpdk.org",
        "Cc": "stephen@networkplumber.org,\n\tferruh.yigit@intel.com",
        "Date": "Tue, 21 May 2019 18:13:03 +0200",
        "Message-Id": "<20190521161315.25500-4-olivier.matz@6wind.com>",
        "X-Mailer": "git-send-email 2.11.0",
        "In-Reply-To": "<20190521161315.25500-1-olivier.matz@6wind.com>",
        "References": "<20190410083218.17531-1-olivier.matz@6wind.com>\n\t<20190521161315.25500-1-olivier.matz@6wind.com>",
        "X-Mailman-Approved-At": "Tue, 21 May 2019 18:37:53 +0200",
        "Subject": "[dpdk-dev] [PATCH 03/15] net: add rte prefix to ether structures",
        "X-BeenThere": "dev@dpdk.org",
        "X-Mailman-Version": "2.1.15",
        "Precedence": "list",
        "List-Id": "DPDK patches and discussions <dev.dpdk.org>",
        "List-Unsubscribe": "<https://mails.dpdk.org/options/dev>,\n\t<mailto:dev-request@dpdk.org?subject=unsubscribe>",
        "List-Archive": "<http://mails.dpdk.org/archives/dev/>",
        "List-Post": "<mailto:dev@dpdk.org>",
        "List-Help": "<mailto:dev-request@dpdk.org?subject=help>",
        "List-Subscribe": "<https://mails.dpdk.org/listinfo/dev>,\n\t<mailto:dev-request@dpdk.org?subject=subscribe>",
        "Errors-To": "dev-bounces@dpdk.org",
        "Sender": "\"dev\" <dev-bounces@dpdk.org>"
    },
    "content": "Add 'rte_' prefix to structures:\n- rename struct ether_addr as struct rte_ether_addr.\n- rename struct ether_hdr as struct rte_ether_hdr.\n- rename struct vlan_hdr as struct rte_vlan_hdr.\n- rename struct vxlan_hdr as struct rte_vxlan_hdr.\n- rename struct vxlan_gpe_hdr as struct rte_vxlan_gpe_hdr.\n\nDo not update the command line library to avoid adding a dependency to\nlibrte_net.\n\nSigned-off-by: Olivier Matz <olivier.matz@6wind.com>\n---\n app/pdump/main.c                                   |   2 +-\n app/test-pipeline/pipeline_acl.c                   |  13 +-\n app/test-pipeline/pipeline_hash.c                  |   4 +-\n app/test-pmd/cmdline.c                             |  50 +++---\n app/test-pmd/cmdline_flow.c                        |   2 +-\n app/test-pmd/config.c                              |  25 +--\n app/test-pmd/csumonly.c                            |  31 ++--\n app/test-pmd/flowgen.c                             |  10 +-\n app/test-pmd/icmpecho.c                            |  18 +--\n app/test-pmd/ieee1588fwd.c                         |   8 +-\n app/test-pmd/macfwd.c                              |   6 +-\n app/test-pmd/macswap.h                             |   6 +-\n app/test-pmd/macswap_common.h                      |   2 +-\n app/test-pmd/macswap_neon.h                        |  12 +-\n app/test-pmd/macswap_sse.h                         |  12 +-\n app/test-pmd/testpmd.c                             |   4 +-\n app/test-pmd/testpmd.h                             |  10 +-\n app/test-pmd/txonly.c                              |  19 +--\n app/test-pmd/util.c                                |  12 +-\n app/test/packet_burst_generator.c                  |  36 +++--\n app/test/packet_burst_generator.h                  |  21 +--\n app/test/test_cmdline_etheraddr.c                  |  14 +-\n app/test/test_event_eth_rx_adapter.c               |   2 +-\n app/test/test_event_eth_tx_adapter.c               |   2 +-\n app/test/test_flow_classify.c                      |  34 ++---\n app/test/test_link_bonding.c                       | 169 ++++++++++++---------\n app/test/test_link_bonding_mode4.c                 |  55 +++----\n app/test/test_link_bonding_rssconf.c               |   2 +-\n app/test/test_pmd_perf.c                           |  10 +-\n app/test/test_sched.c                              |  18 ++-\n app/test/virtual_pmd.c                             |   4 +-\n app/test/virtual_pmd.h                             |   2 +-\n doc/guides/prog_guide/bbdev.rst                    |   6 +-\n doc/guides/sample_app_ug/flow_classify.rst         |  12 +-\n doc/guides/sample_app_ug/flow_filtering.rst        |   6 +-\n doc/guides/sample_app_ug/ipv4_multicast.rst        |   8 +-\n doc/guides/sample_app_ug/l2_forward_job_stats.rst  |   4 +-\n .../sample_app_ug/l2_forward_real_virtual.rst      |   4 +-\n doc/guides/sample_app_ug/l3_forward.rst            |   8 +-\n doc/guides/sample_app_ug/link_status_intr.rst      |   4 +-\n doc/guides/sample_app_ug/ptpclient.rst             |   6 +-\n doc/guides/sample_app_ug/rxtx_callbacks.rst        |   2 +-\n doc/guides/sample_app_ug/server_node_efd.rst       |   4 +-\n doc/guides/sample_app_ug/skeleton.rst              |   2 +-\n doc/guides/sample_app_ug/vmdq_dcb_forwarding.rst   |   4 +-\n drivers/bus/dpaa/include/fman.h                    |   2 +-\n drivers/bus/dpaa/include/netcfg.h                  |   4 +-\n drivers/net/af_packet/rte_eth_af_packet.c          |   2 +-\n drivers/net/af_xdp/rte_eth_af_xdp.c                |   4 +-\n drivers/net/ark/ark_ethdev.c                       |  14 +-\n drivers/net/ark/ark_ext.h                          |   4 +-\n drivers/net/ark/ark_global.h                       |   5 +-\n drivers/net/atlantic/atl_ethdev.c                  |  12 +-\n drivers/net/atlantic/hw_atl/hw_atl_utils.c         |   8 +-\n drivers/net/atlantic/hw_atl/hw_atl_utils_fw2x.c    |   4 +-\n drivers/net/avp/avp_ethdev.c                       |   6 +-\n drivers/net/axgbe/axgbe_ethdev.h                   |   2 +-\n drivers/net/bnx2x/bnx2x.c                          |   8 +-\n drivers/net/bnx2x/bnx2x_ethdev.c                   |   5 +-\n drivers/net/bnx2x/bnx2x_ethdev.h                   |   3 +-\n drivers/net/bnx2x/bnx2x_vfpf.c                     |   2 +-\n drivers/net/bnx2x/bnx2x_vfpf.h                     |   2 +-\n drivers/net/bnxt/bnxt_ethdev.c                     |   7 +-\n drivers/net/bnxt/bnxt_hwrm.c                       |   4 +-\n drivers/net/bnxt/bnxt_hwrm.h                       |   2 +-\n drivers/net/bnxt/rte_pmd_bnxt.c                    |   6 +-\n drivers/net/bnxt/rte_pmd_bnxt.h                    |   4 +-\n drivers/net/bonding/rte_eth_bond.h                 |   2 +-\n drivers/net/bonding/rte_eth_bond_8023ad.c          |   6 +-\n drivers/net/bonding/rte_eth_bond_8023ad.h          |  10 +-\n drivers/net/bonding/rte_eth_bond_8023ad_private.h  |   2 +-\n drivers/net/bonding/rte_eth_bond_alb.c             |  28 ++--\n drivers/net/bonding/rte_eth_bond_alb.h             |  10 +-\n drivers/net/bonding/rte_eth_bond_api.c             |   2 +-\n drivers/net/bonding/rte_eth_bond_args.c            |   2 +-\n drivers/net/bonding/rte_eth_bond_pmd.c             |  93 +++++++-----\n drivers/net/bonding/rte_eth_bond_private.h         |   8 +-\n drivers/net/cxgbe/base/adapter.h                   |   2 +-\n drivers/net/cxgbe/cxgbe_ethdev.c                   |   2 +-\n drivers/net/cxgbe/cxgbe_pfvf.h                     |   2 +-\n drivers/net/dpaa/dpaa_ethdev.c                     |   4 +-\n drivers/net/dpaa/dpaa_rxtx.c                       |   3 +-\n drivers/net/dpaa2/dpaa2_ethdev.c                   |  19 +--\n drivers/net/dpaa2/dpaa2_flow.c                     |  18 +--\n drivers/net/e1000/em_ethdev.c                      |  17 ++-\n drivers/net/e1000/igb_ethdev.c                     |  26 ++--\n drivers/net/e1000/igb_pf.c                         |   4 +-\n drivers/net/ena/ena_ethdev.c                       |   8 +-\n drivers/net/enetc/enetc_ethdev.c                   |   2 +-\n drivers/net/enic/enic.h                            |   2 +-\n drivers/net/enic/enic_ethdev.c                     |  12 +-\n drivers/net/enic/enic_flow.c                       |  34 ++---\n drivers/net/enic/enic_main.c                       |   4 +-\n drivers/net/failsafe/failsafe.c                    |   2 +-\n drivers/net/failsafe/failsafe_args.c               |   2 +-\n drivers/net/failsafe/failsafe_ether.c              |   2 +-\n drivers/net/failsafe/failsafe_ops.c                |   6 +-\n drivers/net/failsafe/failsafe_private.h            |   4 +-\n drivers/net/fm10k/fm10k_ethdev.c                   |   8 +-\n drivers/net/i40e/i40e_ethdev.c                     |  58 +++----\n drivers/net/i40e/i40e_ethdev.h                     |  21 +--\n drivers/net/i40e/i40e_ethdev_vf.c                  |  32 ++--\n drivers/net/i40e/i40e_fdir.c                       |   8 +-\n drivers/net/i40e/i40e_flow.c                       |   8 +-\n drivers/net/i40e/i40e_pf.c                         |  10 +-\n drivers/net/i40e/i40e_vf_representor.c             |   2 +-\n drivers/net/i40e/rte_pmd_i40e.c                    |  15 +-\n drivers/net/i40e/rte_pmd_i40e.h                    |   8 +-\n drivers/net/iavf/iavf.h                            |   2 +-\n drivers/net/iavf/iavf_ethdev.c                     |  23 +--\n drivers/net/iavf/iavf_vchnl.c                      |   4 +-\n drivers/net/ice/ice_ethdev.c                       |  31 ++--\n drivers/net/ice/ice_ethdev.h                       |   4 +-\n drivers/net/ipn3ke/ipn3ke_ethdev.h                 |   4 +-\n drivers/net/ipn3ke/ipn3ke_representor.c            |   4 +-\n drivers/net/ixgbe/ixgbe_ethdev.c                   |  52 ++++---\n drivers/net/ixgbe/ixgbe_pf.c                       |   2 +-\n drivers/net/ixgbe/ixgbe_vf_representor.c           |   4 +-\n drivers/net/ixgbe/rte_pmd_ixgbe.c                  |   4 +-\n drivers/net/ixgbe/rte_pmd_ixgbe.h                  |   2 +-\n drivers/net/kni/rte_eth_kni.c                      |   2 +-\n drivers/net/liquidio/lio_ethdev.c                  |   3 +-\n drivers/net/mlx4/mlx4.c                            |   2 +-\n drivers/net/mlx4/mlx4.h                            |   8 +-\n drivers/net/mlx4/mlx4_ethdev.c                     |   6 +-\n drivers/net/mlx4/mlx4_flow.c                       |   4 +-\n drivers/net/mlx5/mlx5.c                            |   2 +-\n drivers/net/mlx5/mlx5.h                            |  13 +-\n drivers/net/mlx5/mlx5_flow_dv.c                    |  16 +-\n drivers/net/mlx5/mlx5_flow_tcf.c                   |  10 +-\n drivers/net/mlx5/mlx5_mac.c                        |  10 +-\n drivers/net/mlx5/mlx5_nl.c                         |  14 +-\n drivers/net/mlx5/mlx5_rxtx.h                       |   2 +-\n drivers/net/mlx5/mlx5_trigger.c                    |   4 +-\n drivers/net/mvneta/mvneta_ethdev.c                 |   4 +-\n drivers/net/mvpp2/mrvl_ethdev.c                    |   4 +-\n drivers/net/mvpp2/mrvl_flow.c                      |   2 +-\n drivers/net/netvsc/hn_ethdev.c                     |   2 +-\n drivers/net/netvsc/hn_rxtx.c                       |   4 +-\n drivers/net/netvsc/hn_var.h                        |   4 +-\n drivers/net/netvsc/hn_vf.c                         |   6 +-\n drivers/net/nfb/nfb_ethdev.c                       |   8 +-\n drivers/net/nfp/nfp_net.c                          |   9 +-\n drivers/net/null/rte_eth_null.c                    |   4 +-\n drivers/net/octeontx/octeontx_ethdev.c             |   2 +-\n drivers/net/pcap/rte_eth_pcap.c                    |   4 +-\n drivers/net/qede/qede_ethdev.c                     |  34 +++--\n drivers/net/qede/qede_ethdev.h                     |   6 +-\n drivers/net/qede/qede_filter.c                     |   4 +-\n drivers/net/qede/qede_if.h                         |   2 +-\n drivers/net/qede/qede_rxtx.c                       |  14 +-\n drivers/net/ring/rte_eth_ring.c                    |   4 +-\n drivers/net/sfc/sfc.h                              |   2 +-\n drivers/net/sfc/sfc_ethdev.c                       |  12 +-\n drivers/net/sfc/sfc_port.c                         |   6 +-\n drivers/net/softnic/parser.c                       |  12 +-\n drivers/net/softnic/parser.h                       |   2 +-\n drivers/net/softnic/rte_eth_softnic.c              |   2 +-\n drivers/net/szedata2/rte_eth_szedata2.c            |   6 +-\n drivers/net/tap/rte_eth_tap.c                      |  21 +--\n drivers/net/tap/rte_eth_tap.h                      |   4 +-\n drivers/net/tap/tap_bpf_program.c                  |   8 +-\n drivers/net/thunderx/base/nicvf_plat.h             |   3 +\n drivers/net/thunderx/nicvf_ethdev.c                |   4 +-\n drivers/net/vdev_netvsc/vdev_netvsc.c              |  12 +-\n drivers/net/vhost/rte_eth_vhost.c                  |   8 +-\n drivers/net/virtio/virtio_ethdev.c                 |  18 +--\n drivers/net/virtio/virtio_rxtx.c                   |   4 +-\n drivers/net/vmxnet3/vmxnet3_ethdev.c               |   8 +-\n drivers/net/vmxnet3/vmxnet3_rxtx.c                 |   6 +-\n examples/bbdev_app/main.c                          |  39 ++---\n examples/bond/main.c                               |  30 ++--\n examples/distributor/main.c                        |   2 +-\n examples/ethtool/ethtool-app/ethapp.c              |   4 +-\n examples/ethtool/ethtool-app/main.c                |   6 +-\n examples/ethtool/lib/rte_ethtool.c                 |   6 +-\n examples/ethtool/lib/rte_ethtool.h                 |   7 +-\n examples/eventdev_pipeline/main.c                  |   2 +-\n examples/eventdev_pipeline/pipeline_common.h       |   6 +-\n examples/flow_classify/flow_classify.c             |  12 +-\n examples/flow_filtering/main.c                     |   6 +-\n examples/ip_fragmentation/main.c                   |  19 +--\n examples/ip_pipeline/cli.c                         |   4 +-\n examples/ip_pipeline/parser.c                      |  12 +-\n examples/ip_pipeline/parser.h                      |   2 +-\n examples/ip_reassembly/main.c                      |  13 +-\n examples/ipsec-secgw/ipsec-secgw.c                 |  19 +--\n examples/ipsec-secgw/ipsec.h                       |   2 +-\n examples/ipsec-secgw/parser.c                      |   4 +-\n examples/ipv4_multicast/main.c                     |  16 +-\n examples/kni/main.c                                |   8 +-\n examples/l2fwd-cat/l2fwd-cat.c                     |   2 +-\n examples/l2fwd-crypto/main.c                       |  12 +-\n examples/l2fwd-jobstats/main.c                     |   6 +-\n examples/l2fwd-keepalive/main.c                    |   6 +-\n examples/l2fwd/main.c                              |   6 +-\n examples/l3fwd-acl/main.c                          |  20 +--\n examples/l3fwd-power/main.c                        |  16 +-\n examples/l3fwd-vf/main.c                           |  10 +-\n examples/l3fwd/l3fwd.h                             |   2 +-\n examples/l3fwd/l3fwd_altivec.h                     |  12 +-\n examples/l3fwd/l3fwd_em.c                          |   6 +-\n examples/l3fwd/l3fwd_em.h                          |   8 +-\n examples/l3fwd/l3fwd_em_hlm.h                      |  11 +-\n examples/l3fwd/l3fwd_em_hlm_neon.h                 |   8 +-\n examples/l3fwd/l3fwd_em_hlm_sse.h                  |   8 +-\n examples/l3fwd/l3fwd_em_sequential.h               |  11 +-\n examples/l3fwd/l3fwd_lpm.c                         |  14 +-\n examples/l3fwd/l3fwd_lpm.h                         |   8 +-\n examples/l3fwd/l3fwd_lpm_altivec.h                 |  10 +-\n examples/l3fwd/l3fwd_lpm_neon.h                    |  20 +--\n examples/l3fwd/l3fwd_lpm_sse.h                     |  10 +-\n examples/l3fwd/l3fwd_neon.h                        |  12 +-\n examples/l3fwd/l3fwd_sse.h                         |  12 +-\n examples/l3fwd/main.c                              |   8 +-\n examples/link_status_interrupt/main.c              |   6 +-\n examples/load_balancer/runtime.c                   |   6 +-\n .../client_server_mp/mp_server/main.c              |   2 +-\n examples/packet_ordering/main.c                    |   2 +-\n examples/performance-thread/l3fwd-thread/main.c    | 136 ++++++++---------\n examples/ptpclient/ptpclient.c                     |  28 ++--\n examples/qos_meter/main.c                          |   3 +-\n examples/quota_watermark/qw/main.c                 |   6 +-\n examples/rxtx_callbacks/main.c                     |   2 +-\n examples/server_node_efd/node/node.c               |   2 +-\n examples/server_node_efd/server/main.c             |   4 +-\n examples/skeleton/basicfwd.c                       |   2 +-\n examples/tep_termination/main.c                    |   2 +-\n examples/tep_termination/main.h                    |   2 +-\n examples/tep_termination/vxlan.c                   |  44 +++---\n examples/tep_termination/vxlan.h                   |   6 +-\n examples/tep_termination/vxlan_setup.c             |   6 +-\n examples/tep_termination/vxlan_setup.h             |   2 +-\n examples/vhost/main.c                              |  26 ++--\n examples/vhost/main.h                              |   2 +-\n examples/vm_power_manager/channel_monitor.c        |   6 +-\n .../guest_cli/vm_power_cli_guest.c                 |   2 +-\n examples/vm_power_manager/main.c                   |   4 +-\n examples/vmdq/main.c                               |  10 +-\n examples/vmdq_dcb/main.c                           |  10 +-\n lib/librte_ethdev/rte_class_eth.c                  |   2 +-\n lib/librte_ethdev/rte_eth_ctrl.h                   |  12 +-\n lib/librte_ethdev/rte_ethdev.c                     |  20 +--\n lib/librte_ethdev/rte_ethdev.h                     |  13 +-\n lib/librte_ethdev/rte_ethdev_core.h                |  12 +-\n lib/librte_ethdev/rte_flow.h                       |  12 +-\n lib/librte_eventdev/rte_event_eth_rx_adapter.c     |   7 +-\n lib/librte_gro/gro_tcp4.c                          |   4 +-\n lib/librte_gro/gro_tcp4.h                          |   4 +-\n lib/librte_gro/gro_vxlan_tcp4.c                    |  12 +-\n lib/librte_gro/gro_vxlan_tcp4.h                    |   6 +-\n lib/librte_gso/rte_gso.h                           |   4 +-\n lib/librte_kni/rte_kni.c                           |   2 +-\n lib/librte_net/rte_arp.c                           |   7 +-\n lib/librte_net/rte_arp.h                           |   6 +-\n lib/librte_net/rte_ether.h                         |  64 ++++----\n lib/librte_net/rte_net.c                           |  20 +--\n lib/librte_pipeline/rte_table_action.c             |  60 ++++----\n lib/librte_pipeline/rte_table_action.h             |   4 +-\n lib/librte_vhost/vhost.h                           |   2 +-\n lib/librte_vhost/virtio_net.c                      |  11 +-\n 261 files changed, 1480 insertions(+), 1372 deletions(-)",
    "diff": "diff --git a/app/pdump/main.c b/app/pdump/main.c\nindex 3d208548f..80dc924cf 100644\n--- a/app/pdump/main.c\n+++ b/app/pdump/main.c\n@@ -549,7 +549,7 @@ signal_handler(int sig_num)\n static inline int\n configure_vdev(uint16_t port_id)\n {\n-\tstruct ether_addr addr;\n+\tstruct rte_ether_addr addr;\n \tconst uint16_t rxRings = 0, txRings = 1;\n \tint ret;\n \tuint16_t q;\ndiff --git a/app/test-pipeline/pipeline_acl.c b/app/test-pipeline/pipeline_acl.c\nindex 524d2212d..e53e5ecef 100644\n--- a/app/test-pipeline/pipeline_acl.c\n+++ b/app/test-pipeline/pipeline_acl.c\n@@ -39,7 +39,7 @@ struct rte_acl_field_def ipv4_field_formats[NUM_FIELDS_IPV4] = {\n \t\t.size = sizeof(uint8_t),\n \t\t.field_index = PROTO_FIELD_IPV4,\n \t\t.input_index = PROTO_FIELD_IPV4,\n-\t\t.offset = sizeof(struct ether_hdr) +\n+\t\t.offset = sizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv4_hdr, next_proto_id),\n \t},\n \t{\n@@ -47,7 +47,7 @@ struct rte_acl_field_def ipv4_field_formats[NUM_FIELDS_IPV4] = {\n \t\t.size = sizeof(uint32_t),\n \t\t.field_index = SRC_FIELD_IPV4,\n \t\t.input_index = SRC_FIELD_IPV4,\n-\t\t.offset = sizeof(struct ether_hdr) +\n+\t\t.offset = sizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv4_hdr, src_addr),\n \t},\n \t{\n@@ -55,7 +55,7 @@ struct rte_acl_field_def ipv4_field_formats[NUM_FIELDS_IPV4] = {\n \t\t.size = sizeof(uint32_t),\n \t\t.field_index = DST_FIELD_IPV4,\n \t\t.input_index = DST_FIELD_IPV4,\n-\t\t.offset = sizeof(struct ether_hdr) +\n+\t\t.offset = sizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv4_hdr, dst_addr),\n \t},\n \t{\n@@ -63,15 +63,16 @@ struct rte_acl_field_def ipv4_field_formats[NUM_FIELDS_IPV4] = {\n \t\t.size = sizeof(uint16_t),\n \t\t.field_index = SRCP_FIELD_IPV4,\n \t\t.input_index = SRCP_FIELD_IPV4,\n-\t\t.offset = sizeof(struct ether_hdr) + sizeof(struct ipv4_hdr),\n+\t\t.offset = sizeof(struct rte_ether_hdr) +\n+\t\t\tsizeof(struct ipv4_hdr),\n \t},\n \t{\n \t\t.type = RTE_ACL_FIELD_TYPE_RANGE,\n \t\t.size = sizeof(uint16_t),\n \t\t.field_index = DSTP_FIELD_IPV4,\n \t\t.input_index = SRCP_FIELD_IPV4,\n-\t\t.offset = sizeof(struct ether_hdr) + sizeof(struct ipv4_hdr) +\n-\t\t\tsizeof(uint16_t),\n+\t\t.offset = sizeof(struct rte_ether_hdr) +\n+\t\t\tsizeof(struct ipv4_hdr) + sizeof(uint16_t),\n \t},\n };\n \ndiff --git a/app/test-pipeline/pipeline_hash.c b/app/test-pipeline/pipeline_hash.c\nindex c20147234..3e4a0a1bb 100644\n--- a/app/test-pipeline/pipeline_hash.c\n+++ b/app/test-pipeline/pipeline_hash.c\n@@ -441,14 +441,14 @@ app_main_loop_rx_metadata(void) {\n \n \t\t\tif (RTE_ETH_IS_IPV4_HDR(m->packet_type)) {\n \t\t\t\tip_hdr = (struct ipv4_hdr *)\n-\t\t\t\t\t&m_data[sizeof(struct ether_hdr)];\n+\t\t\t\t\t&m_data[sizeof(struct rte_ether_hdr)];\n \t\t\t\tip_dst = ip_hdr->dst_addr;\n \n \t\t\t\tk32 = (uint32_t *) key;\n \t\t\t\tk32[0] = ip_dst & 0xFFFFFF00;\n \t\t\t} else if (RTE_ETH_IS_IPV6_HDR(m->packet_type)) {\n \t\t\t\tipv6_hdr = (struct ipv6_hdr *)\n-\t\t\t\t\t&m_data[sizeof(struct ether_hdr)];\n+\t\t\t\t\t&m_data[sizeof(struct rte_ether_hdr)];\n \t\t\t\tipv6_dst = ipv6_hdr->dst_addr;\n \n \t\t\t\tmemcpy(key, ipv6_dst, 16);\ndiff --git a/app/test-pmd/cmdline.c b/app/test-pmd/cmdline.c\nindex c1042dd98..90e35022e 100644\n--- a/app/test-pmd/cmdline.c\n+++ b/app/test-pmd/cmdline.c\n@@ -6124,7 +6124,7 @@ struct cmd_set_bond_mac_addr_result {\n \tcmdline_fixed_string_t bonding;\n \tcmdline_fixed_string_t mac_addr;\n \tuint16_t port_num;\n-\tstruct ether_addr address;\n+\tstruct rte_ether_addr address;\n };\n \n static void cmd_set_bond_mac_addr_parsed(void *parsed_result,\n@@ -7897,7 +7897,7 @@ struct cmd_mac_addr_result {\n \tcmdline_fixed_string_t mac_addr_cmd;\n \tcmdline_fixed_string_t what;\n \tuint16_t port_num;\n-\tstruct ether_addr address;\n+\tstruct rte_ether_addr address;\n };\n \n static void cmd_mac_addr_parsed(void *parsed_result,\n@@ -8096,7 +8096,7 @@ struct cmd_set_uc_hash_table {\n \tcmdline_fixed_string_t port;\n \tportid_t port_id;\n \tcmdline_fixed_string_t what;\n-\tstruct ether_addr address;\n+\tstruct rte_ether_addr address;\n \tcmdline_fixed_string_t mode;\n };\n \n@@ -8220,7 +8220,7 @@ struct cmd_set_vf_macvlan_filter {\n \tportid_t port_id;\n \tcmdline_fixed_string_t vf;\n \tuint8_t vf_id;\n-\tstruct ether_addr address;\n+\tstruct rte_ether_addr address;\n \tcmdline_fixed_string_t filter_type;\n \tcmdline_fixed_string_t mode;\n };\n@@ -8479,7 +8479,7 @@ struct cmd_vf_mac_addr_result {\n \tuint16_t port_num;\n \tcmdline_fixed_string_t vf;\n \tuint8_t vf_num;\n-\tstruct ether_addr address;\n+\tstruct rte_ether_addr address;\n };\n \n static void cmd_vf_mac_addr_parsed(void *parsed_result,\n@@ -8792,8 +8792,8 @@ struct cmd_tunnel_filter_result {\n \tcmdline_fixed_string_t cmd;\n \tcmdline_fixed_string_t what;\n \tportid_t port_id;\n-\tstruct ether_addr outer_mac;\n-\tstruct ether_addr inner_mac;\n+\tstruct rte_ether_addr outer_mac;\n+\tstruct rte_ether_addr inner_mac;\n \tcmdline_ipaddr_t ip_value;\n \tuint16_t inner_vlan;\n \tcmdline_fixed_string_t tunnel_type;\n@@ -10583,7 +10583,7 @@ struct cmd_ethertype_filter_result {\n \tportid_t port_id;\n \tcmdline_fixed_string_t ops;\n \tcmdline_fixed_string_t mac;\n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_addr mac_addr;\n \tcmdline_fixed_string_t ethertype;\n \tuint16_t ethertype_value;\n \tcmdline_fixed_string_t drop;\n@@ -10643,7 +10643,7 @@ cmd_ethertype_filter_parsed(void *parsed_result,\n \tif (!strcmp(res->mac, \"mac_addr\")) {\n \t\tfilter.flags |= RTE_ETHTYPE_FLAGS_MAC;\n \t\trte_memcpy(&filter.mac_addr, &res->mac_addr,\n-\t\t\tsizeof(struct ether_addr));\n+\t\t\tsizeof(struct rte_ether_addr));\n \t}\n \tif (!strcmp(res->drop, \"drop\"))\n \t\tfilter.flags |= RTE_ETHTYPE_FLAGS_DROP;\n@@ -10722,7 +10722,7 @@ struct cmd_flow_director_result {\n \tcmdline_fixed_string_t fd_id;\n \tuint32_t  fd_id_value;\n \tcmdline_fixed_string_t mac;\n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_addr mac_addr;\n \tcmdline_fixed_string_t tunnel;\n \tcmdline_fixed_string_t tunnel_type;\n \tcmdline_fixed_string_t tunnel_id;\n@@ -11025,12 +11025,12 @@ cmd_flow_director_filter_parsed(void *parsed_result,\n \tif (fdir_conf.mode ==  RTE_FDIR_MODE_PERFECT_MAC_VLAN)\n \t\trte_memcpy(&entry.input.flow.mac_vlan_flow.mac_addr,\n \t\t\t\t &res->mac_addr,\n-\t\t\t\t sizeof(struct ether_addr));\n+\t\t\t\t sizeof(struct rte_ether_addr));\n \n \tif (fdir_conf.mode ==  RTE_FDIR_MODE_PERFECT_TUNNEL) {\n \t\trte_memcpy(&entry.input.flow.tunnel_flow.mac_addr,\n \t\t\t\t &res->mac_addr,\n-\t\t\t\t sizeof(struct ether_addr));\n+\t\t\t\t sizeof(struct rte_ether_addr));\n \t\tentry.input.flow.tunnel_flow.tunnel_type =\n \t\t\tstr2fdir_tunneltype(res->tunnel_type);\n \t\tentry.input.flow.tunnel_flow.tunnel_id =\n@@ -12463,7 +12463,7 @@ struct cmd_mcast_addr_result {\n \tcmdline_fixed_string_t mcast_addr_cmd;\n \tcmdline_fixed_string_t what;\n \tuint16_t port_num;\n-\tstruct ether_addr mc_addr;\n+\tstruct rte_ether_addr mc_addr;\n };\n \n static void cmd_mcast_addr_parsed(void *parsed_result,\n@@ -13882,7 +13882,7 @@ struct cmd_set_vf_mac_addr_result {\n \tcmdline_fixed_string_t addr;\n \tportid_t port_id;\n \tuint16_t vf_id;\n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_addr mac_addr;\n \n };\n \n@@ -14187,7 +14187,7 @@ struct cmd_macsec_sc_result {\n \tcmdline_fixed_string_t sc;\n \tcmdline_fixed_string_t tx_rx;\n \tportid_t port_id;\n-\tstruct ether_addr mac;\n+\tstruct rte_ether_addr mac;\n \tuint16_t pi;\n };\n \n@@ -15188,8 +15188,8 @@ struct cmd_set_vxlan_result {\n \tuint16_t tci;\n \tuint8_t tos;\n \tuint8_t ttl;\n-\tstruct ether_addr eth_src;\n-\tstruct ether_addr eth_dst;\n+\tstruct rte_ether_addr eth_src;\n+\tstruct rte_ether_addr eth_dst;\n };\n \n cmdline_parse_token_string_t cmd_set_vxlan_set =\n@@ -15411,8 +15411,8 @@ struct cmd_set_nvgre_result {\n \tcmdline_ipaddr_t ip_src;\n \tcmdline_ipaddr_t ip_dst;\n \tuint16_t tci;\n-\tstruct ether_addr eth_src;\n-\tstruct ether_addr eth_dst;\n+\tstruct rte_ether_addr eth_src;\n+\tstruct rte_ether_addr eth_dst;\n };\n \n cmdline_parse_token_string_t cmd_set_nvgre_set =\n@@ -15557,8 +15557,8 @@ struct cmd_set_l2_encap_result {\n \tcmdline_fixed_string_t ip_version;\n \tuint32_t vlan_present:1;\n \tuint16_t tci;\n-\tstruct ether_addr eth_src;\n-\tstruct ether_addr eth_dst;\n+\tstruct rte_ether_addr eth_src;\n+\tstruct rte_ether_addr eth_dst;\n };\n \n cmdline_parse_token_string_t cmd_set_l2_encap_set =\n@@ -15714,8 +15714,8 @@ struct cmd_set_mplsogre_encap_result {\n \tcmdline_ipaddr_t ip_src;\n \tcmdline_ipaddr_t ip_dst;\n \tuint16_t tci;\n-\tstruct ether_addr eth_src;\n-\tstruct ether_addr eth_dst;\n+\tstruct rte_ether_addr eth_src;\n+\tstruct rte_ether_addr eth_dst;\n };\n \n cmdline_parse_token_string_t cmd_set_mplsogre_encap_set =\n@@ -15938,8 +15938,8 @@ struct cmd_set_mplsoudp_encap_result {\n \tcmdline_ipaddr_t ip_src;\n \tcmdline_ipaddr_t ip_dst;\n \tuint16_t tci;\n-\tstruct ether_addr eth_src;\n-\tstruct ether_addr eth_dst;\n+\tstruct rte_ether_addr eth_src;\n+\tstruct rte_ether_addr eth_dst;\n };\n \n cmdline_parse_token_string_t cmd_set_mplsoudp_encap_set =\ndiff --git a/app/test-pmd/cmdline_flow.c b/app/test-pmd/cmdline_flow.c\nindex 3070e0e0d..2e274f9b1 100644\n--- a/app/test-pmd/cmdline_flow.c\n+++ b/app/test-pmd/cmdline_flow.c\n@@ -4613,7 +4613,7 @@ parse_mac_addr(struct context *ctx, const struct token *token,\n \t       void *buf, unsigned int size)\n {\n \tconst struct arg *arg = pop_args(ctx);\n-\tstruct ether_addr tmp;\n+\tstruct rte_ether_addr tmp;\n \tint ret;\n \n \t(void)token;\ndiff --git a/app/test-pmd/config.c b/app/test-pmd/config.c\nindex f9cb12964..03ce502ba 100644\n--- a/app/test-pmd/config.c\n+++ b/app/test-pmd/config.c\n@@ -108,7 +108,7 @@ const struct rss_type_info rss_type_table[] = {\n };\n \n static void\n-print_ethaddr(const char *name, struct ether_addr *eth_addr)\n+print_ethaddr(const char *name, struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n \tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n@@ -391,7 +391,7 @@ void\n port_infos_display(portid_t port_id)\n {\n \tstruct rte_port *port;\n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_addr mac_addr;\n \tstruct rte_eth_link link;\n \tstruct rte_eth_dev_info dev_info;\n \tint vlan_offload;\n@@ -538,7 +538,7 @@ port_summary_header_display(void)\n void\n port_summary_display(portid_t port_id)\n {\n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_addr mac_addr;\n \tstruct rte_eth_link link;\n \tstruct rte_eth_dev_info dev_info;\n \tchar name[RTE_ETH_NAME_MAX_LEN];\n@@ -2519,7 +2519,8 @@ set_tx_pkt_segments(unsigned *seg_lengths, unsigned nb_segs)\n \t * Check that each segment length is greater or equal than\n \t * the mbuf data sise.\n \t * Check also that the total packet length is greater or equal than the\n-\t * size of an empty UDP/IP packet (sizeof(struct ether_hdr) + 20 + 8).\n+\t * size of an empty UDP/IP packet (sizeof(struct rte_ether_hdr) +\n+\t * 20 + 8).\n \t */\n \ttx_pkt_len = 0;\n \tfor (i = 0; i < nb_segs; i++) {\n@@ -2530,10 +2531,10 @@ set_tx_pkt_segments(unsigned *seg_lengths, unsigned nb_segs)\n \t\t}\n \t\ttx_pkt_len = (uint16_t)(tx_pkt_len + seg_lengths[i]);\n \t}\n-\tif (tx_pkt_len < (sizeof(struct ether_hdr) + 20 + 8)) {\n+\tif (tx_pkt_len < (sizeof(struct rte_ether_hdr) + 20 + 8)) {\n \t\tprintf(\"total packet length=%u < %d - give up\\n\",\n \t\t\t\t(unsigned) tx_pkt_len,\n-\t\t\t\t(int)(sizeof(struct ether_hdr) + 20 + 8));\n+\t\t\t\t(int)(sizeof(struct rte_ether_hdr) + 20 + 8));\n \t\treturn;\n \t}\n \n@@ -3453,7 +3454,7 @@ set_vf_rate_limit(portid_t port_id, uint16_t vf, uint16_t rate, uint64_t q_msk)\n static int\n mcast_addr_pool_extend(struct rte_port *port)\n {\n-\tstruct ether_addr *mc_pool;\n+\tstruct rte_ether_addr *mc_pool;\n \tsize_t mc_pool_size;\n \n \t/*\n@@ -3470,9 +3471,9 @@ mcast_addr_pool_extend(struct rte_port *port)\n \t * The previous test guarantees that port->mc_addr_nb is a multiple\n \t * of MCAST_POOL_INC.\n \t */\n-\tmc_pool_size = sizeof(struct ether_addr) * (port->mc_addr_nb +\n+\tmc_pool_size = sizeof(struct rte_ether_addr) * (port->mc_addr_nb +\n \t\t\t\t\t\t    MCAST_POOL_INC);\n-\tmc_pool = (struct ether_addr *) realloc(port->mc_addr_pool,\n+\tmc_pool = (struct rte_ether_addr *) realloc(port->mc_addr_pool,\n \t\t\t\t\t\tmc_pool_size);\n \tif (mc_pool == NULL) {\n \t\tprintf(\"allocation of pool of %u multicast addresses failed\\n\",\n@@ -3501,7 +3502,7 @@ mcast_addr_pool_remove(struct rte_port *port, uint32_t addr_idx)\n \t}\n \tmemmove(&port->mc_addr_pool[addr_idx],\n \t\t&port->mc_addr_pool[addr_idx + 1],\n-\t\tsizeof(struct ether_addr) * (port->mc_addr_nb - addr_idx));\n+\t\tsizeof(struct rte_ether_addr) * (port->mc_addr_nb - addr_idx));\n }\n \n static void\n@@ -3520,7 +3521,7 @@ eth_port_multicast_addr_list_set(portid_t port_id)\n }\n \n void\n-mcast_addr_add(portid_t port_id, struct ether_addr *mc_addr)\n+mcast_addr_add(portid_t port_id, struct rte_ether_addr *mc_addr)\n {\n \tstruct rte_port *port;\n \tuint32_t i;\n@@ -3548,7 +3549,7 @@ mcast_addr_add(portid_t port_id, struct ether_addr *mc_addr)\n }\n \n void\n-mcast_addr_remove(portid_t port_id, struct ether_addr *mc_addr)\n+mcast_addr_remove(portid_t port_id, struct rte_ether_addr *mc_addr)\n {\n \tstruct rte_port *port;\n \tuint32_t i;\ndiff --git a/app/test-pmd/csumonly.c b/app/test-pmd/csumonly.c\nindex f4f2a7b29..481b91a26 100644\n--- a/app/test-pmd/csumonly.c\n+++ b/app/test-pmd/csumonly.c\n@@ -142,18 +142,19 @@ parse_ipv6(struct ipv6_hdr *ipv6_hdr, struct testpmd_offload_info *info)\n  * header. The l4_len argument is only set in case of TCP (useful for TSO).\n  */\n static void\n-parse_ethernet(struct ether_hdr *eth_hdr, struct testpmd_offload_info *info)\n+parse_ethernet(struct rte_ether_hdr *eth_hdr, struct testpmd_offload_info *info)\n {\n \tstruct ipv4_hdr *ipv4_hdr;\n \tstruct ipv6_hdr *ipv6_hdr;\n \n-\tinfo->l2_len = sizeof(struct ether_hdr);\n+\tinfo->l2_len = sizeof(struct rte_ether_hdr);\n \tinfo->ethertype = eth_hdr->ether_type;\n \n \tif (info->ethertype == _htons(ETHER_TYPE_VLAN)) {\n-\t\tstruct vlan_hdr *vlan_hdr = (struct vlan_hdr *)(eth_hdr + 1);\n+\t\tstruct rte_vlan_hdr *vlan_hdr = (\n+\t\t\tstruct rte_vlan_hdr *)(eth_hdr + 1);\n \n-\t\tinfo->l2_len  += sizeof(struct vlan_hdr);\n+\t\tinfo->l2_len  += sizeof(struct rte_vlan_hdr);\n \t\tinfo->ethertype = vlan_hdr->eth_proto;\n \t}\n \n@@ -180,7 +181,7 @@ parse_vxlan(struct udp_hdr *udp_hdr,\n \t    struct testpmd_offload_info *info,\n \t    uint32_t pkt_type)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \n \t/* check udp destination port, 4789 is the default vxlan port\n \t * (rfc7348) or that the rx offload flag is set (i40e only\n@@ -195,9 +196,9 @@ parse_vxlan(struct udp_hdr *udp_hdr,\n \tinfo->outer_l3_len = info->l3_len;\n \tinfo->outer_l4_proto = info->l4_proto;\n \n-\teth_hdr = (struct ether_hdr *)((char *)udp_hdr +\n+\teth_hdr = (struct rte_ether_hdr *)((char *)udp_hdr +\n \t\tsizeof(struct udp_hdr) +\n-\t\tsizeof(struct vxlan_hdr));\n+\t\tsizeof(struct rte_vxlan_hdr));\n \n \tparse_ethernet(eth_hdr, info);\n \tinfo->l2_len += ETHER_VXLAN_HLEN; /* add udp + vxlan */\n@@ -208,17 +209,17 @@ static void\n parse_vxlan_gpe(struct udp_hdr *udp_hdr,\n \t    struct testpmd_offload_info *info)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct ipv4_hdr *ipv4_hdr;\n \tstruct ipv6_hdr *ipv6_hdr;\n-\tstruct vxlan_gpe_hdr *vxlan_gpe_hdr;\n+\tstruct rte_vxlan_gpe_hdr *vxlan_gpe_hdr;\n \tuint8_t vxlan_gpe_len = sizeof(*vxlan_gpe_hdr);\n \n \t/* Check udp destination port. */\n \tif (udp_hdr->dst_port != _htons(vxlan_gpe_udp_port))\n \t\treturn;\n \n-\tvxlan_gpe_hdr = (struct vxlan_gpe_hdr *)((char *)udp_hdr +\n+\tvxlan_gpe_hdr = (struct rte_vxlan_gpe_hdr *)((char *)udp_hdr +\n \t\t\t\tsizeof(struct udp_hdr));\n \n \tif (!vxlan_gpe_hdr->proto || vxlan_gpe_hdr->proto ==\n@@ -257,7 +258,7 @@ parse_vxlan_gpe(struct udp_hdr *udp_hdr,\n \t\tinfo->outer_l3_len = info->l3_len;\n \t\tinfo->outer_l4_proto = info->l4_proto;\n \n-\t\teth_hdr = (struct ether_hdr *)((char *)vxlan_gpe_hdr +\n+\t\teth_hdr = (struct rte_ether_hdr *)((char *)vxlan_gpe_hdr +\n \t\t\t  vxlan_gpe_len);\n \n \t\tparse_ethernet(eth_hdr, info);\n@@ -271,7 +272,7 @@ parse_vxlan_gpe(struct udp_hdr *udp_hdr,\n static void\n parse_gre(struct simple_gre_hdr *gre_hdr, struct testpmd_offload_info *info)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct ipv4_hdr *ipv4_hdr;\n \tstruct ipv6_hdr *ipv6_hdr;\n \tuint8_t gre_len = 0;\n@@ -318,7 +319,7 @@ parse_gre(struct simple_gre_hdr *gre_hdr, struct testpmd_offload_info *info)\n \t\tinfo->outer_l3_len = info->l3_len;\n \t\tinfo->outer_l4_proto = info->l4_proto;\n \n-\t\teth_hdr = (struct ether_hdr *)((char *)gre_hdr + gre_len);\n+\t\teth_hdr = (struct rte_ether_hdr *)((char *)gre_hdr + gre_len);\n \n \t\tparse_ethernet(eth_hdr, info);\n \t} else\n@@ -691,7 +692,7 @@ pkt_burst_checksum_forward(struct fwd_stream *fs)\n \tstruct rte_mbuf **tx_pkts_burst;\n \tstruct rte_port *txp;\n \tstruct rte_mbuf *m, *p;\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tvoid *l3_hdr = NULL, *outer_l3_hdr = NULL; /* can be IPv4 or IPv6 */\n \tvoid **gro_ctx;\n \tuint16_t gro_pkts_num;\n@@ -765,7 +766,7 @@ pkt_burst_checksum_forward(struct fwd_stream *fs)\n \t\t/* step 1: dissect packet, parsing optional vlan, ip4/ip6, vxlan\n \t\t * and inner headers */\n \n-\t\teth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\t\teth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \t\tether_addr_copy(&peer_eth_addrs[fs->peer_addr],\n \t\t\t\t&eth_hdr->d_addr);\n \t\tether_addr_copy(&ports[fs->tx_port].eth_addr,\ndiff --git a/app/test-pmd/flowgen.c b/app/test-pmd/flowgen.c\nindex 3214e3c95..ac56ca1d8 100644\n--- a/app/test-pmd/flowgen.c\n+++ b/app/test-pmd/flowgen.c\n@@ -76,9 +76,9 @@ static uint32_t cfg_ip_src\t= IPv4(10, 254, 0, 0);\n static uint32_t cfg_ip_dst\t= IPv4(10, 253, 0, 0);\n static uint16_t cfg_udp_src\t= 1000;\n static uint16_t cfg_udp_dst\t= 1001;\n-static struct ether_addr cfg_ether_src\t=\n+static struct rte_ether_addr cfg_ether_src =\n \t{{ 0x00, 0x01, 0x02, 0x03, 0x04, 0x00 }};\n-static struct ether_addr cfg_ether_dst\t=\n+static struct rte_ether_addr cfg_ether_dst =\n \t{{ 0x00, 0x01, 0x02, 0x03, 0x04, 0x01 }};\n \n #define IP_DEFTTL  64   /* from RFC 1340. */\n@@ -119,7 +119,7 @@ pkt_burst_flow_gen(struct fwd_stream *fs)\n \tstruct rte_mbuf  *pkts_burst[MAX_PKT_BURST];\n \tstruct rte_mempool *mbp;\n \tstruct rte_mbuf  *pkt;\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct ipv4_hdr *ip_hdr;\n \tstruct udp_hdr *udp_hdr;\n \tuint16_t vlan_tci, vlan_tci_outer;\n@@ -170,7 +170,7 @@ pkt_burst_flow_gen(struct fwd_stream *fs)\n \t\tpkt->next = NULL;\n \n \t\t/* Initialize Ethernet header. */\n-\t\teth_hdr = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\t\teth_hdr = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \t\tether_addr_copy(&cfg_ether_dst, &eth_hdr->d_addr);\n \t\tether_addr_copy(&cfg_ether_src, &eth_hdr->s_addr);\n \t\teth_hdr->ether_type = rte_cpu_to_be_16(ETHER_TYPE_IPv4);\n@@ -205,7 +205,7 @@ pkt_burst_flow_gen(struct fwd_stream *fs)\n \t\tpkt->ol_flags\t\t= ol_flags;\n \t\tpkt->vlan_tci\t\t= vlan_tci;\n \t\tpkt->vlan_tci_outer\t= vlan_tci_outer;\n-\t\tpkt->l2_len\t\t= sizeof(struct ether_hdr);\n+\t\tpkt->l2_len\t\t= sizeof(struct rte_ether_hdr);\n \t\tpkt->l3_len\t\t= sizeof(struct ipv4_hdr);\n \t\tpkts_burst[nb_pkt]\t= pkt;\n \ndiff --git a/app/test-pmd/icmpecho.c b/app/test-pmd/icmpecho.c\nindex b0767f22b..3cc4d25a4 100644\n--- a/app/test-pmd/icmpecho.c\n+++ b/app/test-pmd/icmpecho.c\n@@ -221,7 +221,7 @@ ipv4_addr_to_dot(uint32_t be_ipv4_addr, char *buf)\n }\n \n static void\n-ether_addr_dump(const char *what, const struct ether_addr *ea)\n+ether_addr_dump(const char *what, const struct rte_ether_addr *ea)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n \n@@ -275,12 +275,12 @@ reply_to_icmp_echo_rqsts(struct fwd_stream *fs)\n {\n \tstruct rte_mbuf *pkts_burst[MAX_PKT_BURST];\n \tstruct rte_mbuf *pkt;\n-\tstruct ether_hdr *eth_h;\n-\tstruct vlan_hdr *vlan_h;\n+\tstruct rte_ether_hdr *eth_h;\n+\tstruct rte_vlan_hdr *vlan_h;\n \tstruct rte_arp_hdr  *arp_h;\n \tstruct ipv4_hdr *ip_h;\n \tstruct icmp_hdr *icmp_h;\n-\tstruct ether_addr eth_addr;\n+\tstruct rte_ether_addr eth_addr;\n \tuint32_t retry;\n \tuint32_t ip_addr;\n \tuint16_t nb_rx;\n@@ -321,9 +321,9 @@ reply_to_icmp_echo_rqsts(struct fwd_stream *fs)\n \t\t\trte_prefetch0(rte_pktmbuf_mtod(pkts_burst[i + 1],\n \t\t\t\t\t\t       void *));\n \t\tpkt = pkts_burst[i];\n-\t\teth_h = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\t\teth_h = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \t\teth_type = RTE_BE_TO_CPU_16(eth_h->ether_type);\n-\t\tl2_len = sizeof(struct ether_hdr);\n+\t\tl2_len = sizeof(struct rte_ether_hdr);\n \t\tif (verbose_level > 0) {\n \t\t\tprintf(\"\\nPort %d pkt-len=%u nb-segs=%u\\n\",\n \t\t\t       fs->rx_port, pkt->pkt_len, pkt->nb_segs);\n@@ -331,9 +331,9 @@ reply_to_icmp_echo_rqsts(struct fwd_stream *fs)\n \t\t\tether_addr_dump(\" dst=\", &eth_h->d_addr);\n \t\t}\n \t\tif (eth_type == ETHER_TYPE_VLAN) {\n-\t\t\tvlan_h = (struct vlan_hdr *)\n-\t\t\t\t((char *)eth_h + sizeof(struct ether_hdr));\n-\t\t\tl2_len  += sizeof(struct vlan_hdr);\n+\t\t\tvlan_h = (struct rte_vlan_hdr *)\n+\t\t\t\t((char *)eth_h + sizeof(struct rte_ether_hdr));\n+\t\t\tl2_len  += sizeof(struct rte_vlan_hdr);\n \t\t\teth_type = rte_be_to_cpu_16(vlan_h->eth_proto);\n \t\t\tif (verbose_level > 0) {\n \t\t\t\tvlan_id = rte_be_to_cpu_16(vlan_h->vlan_tci)\ndiff --git a/app/test-pmd/ieee1588fwd.c b/app/test-pmd/ieee1588fwd.c\nindex 6ae802c86..c6aa3c618 100644\n--- a/app/test-pmd/ieee1588fwd.c\n+++ b/app/test-pmd/ieee1588fwd.c\n@@ -93,8 +93,8 @@ static void\n ieee1588_packet_fwd(struct fwd_stream *fs)\n {\n \tstruct rte_mbuf  *mb;\n-\tstruct ether_hdr *eth_hdr;\n-\tstruct ether_addr addr;\n+\tstruct rte_ether_hdr *eth_hdr;\n+\tstruct rte_ether_addr addr;\n \tstruct ptpv2_msg *ptp_hdr;\n \tuint16_t eth_type;\n \tuint32_t timesync_index;\n@@ -111,7 +111,7 @@ ieee1588_packet_fwd(struct fwd_stream *fs)\n \t * Check that the received packet is a PTP packet that was detected\n \t * by the hardware.\n \t */\n-\teth_hdr = rte_pktmbuf_mtod(mb, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(mb, struct rte_ether_hdr *);\n \teth_type = rte_be_to_cpu_16(eth_hdr->ether_type);\n \n \tif (! (mb->ol_flags & PKT_RX_IEEE1588_PTP)) {\n@@ -141,7 +141,7 @@ ieee1588_packet_fwd(struct fwd_stream *fs)\n \t * PTP_SYNC_MESSAGE.\n \t */\n \tptp_hdr = (struct ptpv2_msg *) (rte_pktmbuf_mtod(mb, char *) +\n-\t\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \tif (ptp_hdr->version != 0x02) {\n \t\tprintf(\"Port %u Received PTP V2 Ethernet frame with wrong PTP\"\n \t\t       \" protocol version 0x%x (should be 0x02)\\n\",\ndiff --git a/app/test-pmd/macfwd.c b/app/test-pmd/macfwd.c\nindex 7cac757a0..631f86f3e 100644\n--- a/app/test-pmd/macfwd.c\n+++ b/app/test-pmd/macfwd.c\n@@ -49,7 +49,7 @@ pkt_burst_mac_forward(struct fwd_stream *fs)\n \tstruct rte_mbuf  *pkts_burst[MAX_PKT_BURST];\n \tstruct rte_port  *txp;\n \tstruct rte_mbuf  *mb;\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tuint32_t retry;\n \tuint16_t nb_rx;\n \tuint16_t nb_tx;\n@@ -91,14 +91,14 @@ pkt_burst_mac_forward(struct fwd_stream *fs)\n \t\t\trte_prefetch0(rte_pktmbuf_mtod(pkts_burst[i + 1],\n \t\t\t\t\t\t       void *));\n \t\tmb = pkts_burst[i];\n-\t\teth_hdr = rte_pktmbuf_mtod(mb, struct ether_hdr *);\n+\t\teth_hdr = rte_pktmbuf_mtod(mb, struct rte_ether_hdr *);\n \t\tether_addr_copy(&peer_eth_addrs[fs->peer_addr],\n \t\t\t\t&eth_hdr->d_addr);\n \t\tether_addr_copy(&ports[fs->tx_port].eth_addr,\n \t\t\t\t&eth_hdr->s_addr);\n \t\tmb->ol_flags &= IND_ATTACHED_MBUF | EXT_ATTACHED_MBUF;\n \t\tmb->ol_flags |= ol_flags;\n-\t\tmb->l2_len = sizeof(struct ether_hdr);\n+\t\tmb->l2_len = sizeof(struct rte_ether_hdr);\n \t\tmb->l3_len = sizeof(struct ipv4_hdr);\n \t\tmb->vlan_tci = txp->tx_vlan_id;\n \t\tmb->vlan_tci_outer = txp->tx_vlan_id_outer;\ndiff --git a/app/test-pmd/macswap.h b/app/test-pmd/macswap.h\nindex bfa9b0eda..d53e5d482 100644\n--- a/app/test-pmd/macswap.h\n+++ b/app/test-pmd/macswap.h\n@@ -11,9 +11,9 @@ static inline void\n do_macswap(struct rte_mbuf *pkts[], uint16_t nb,\n \t\tstruct rte_port *txp)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct rte_mbuf *mb;\n-\tstruct ether_addr addr;\n+\tstruct rte_ether_addr addr;\n \tuint64_t ol_flags;\n \tint i;\n \n@@ -26,7 +26,7 @@ do_macswap(struct rte_mbuf *pkts[], uint16_t nb,\n \t\t\trte_prefetch0(rte_pktmbuf_mtod(pkts[i+1], void *));\n \t\tmb = pkts[i];\n \n-\t\teth_hdr = rte_pktmbuf_mtod(mb, struct ether_hdr *);\n+\t\teth_hdr = rte_pktmbuf_mtod(mb, struct rte_ether_hdr *);\n \n \t\t/* Swap dest and src mac addresses. */\n \t\tether_addr_copy(&eth_hdr->d_addr, &addr);\ndiff --git a/app/test-pmd/macswap_common.h b/app/test-pmd/macswap_common.h\nindex 19754cdd1..56f86baad 100644\n--- a/app/test-pmd/macswap_common.h\n+++ b/app/test-pmd/macswap_common.h\n@@ -39,7 +39,7 @@ mbuf_field_set(struct rte_mbuf *mb, uint64_t ol_flags)\n {\n \tmb->ol_flags &= IND_ATTACHED_MBUF | EXT_ATTACHED_MBUF;\n \tmb->ol_flags |= ol_flags;\n-\tmb->l2_len = sizeof(struct ether_hdr);\n+\tmb->l2_len = sizeof(struct rte_ether_hdr);\n \tmb->l3_len = sizeof(struct ipv4_hdr);\n }\n \ndiff --git a/app/test-pmd/macswap_neon.h b/app/test-pmd/macswap_neon.h\nindex bdf416aa2..df6c260cd 100644\n--- a/app/test-pmd/macswap_neon.h\n+++ b/app/test-pmd/macswap_neon.h\n@@ -16,7 +16,7 @@ static inline void\n do_macswap(struct rte_mbuf *pkts[], uint16_t nb,\n \t\tstruct rte_port *txp)\n {\n-\tstruct ether_hdr *eth_hdr[4];\n+\tstruct rte_ether_hdr *eth_hdr[4];\n \tstruct rte_mbuf *mb[4];\n \tuint64_t ol_flags;\n \tint i;\n@@ -46,16 +46,16 @@ do_macswap(struct rte_mbuf *pkts[], uint16_t nb,\n \t\t}\n \n \t\tmb[0] = pkts[i++];\n-\t\teth_hdr[0] = rte_pktmbuf_mtod(mb[0], struct ether_hdr *);\n+\t\teth_hdr[0] = rte_pktmbuf_mtod(mb[0], struct rte_ether_hdr *);\n \n \t\tmb[1] = pkts[i++];\n-\t\teth_hdr[1] = rte_pktmbuf_mtod(mb[1], struct ether_hdr *);\n+\t\teth_hdr[1] = rte_pktmbuf_mtod(mb[1], struct rte_ether_hdr *);\n \n \t\tmb[2] = pkts[i++];\n-\t\teth_hdr[2] = rte_pktmbuf_mtod(mb[2], struct ether_hdr *);\n+\t\teth_hdr[2] = rte_pktmbuf_mtod(mb[2], struct rte_ether_hdr *);\n \n \t\tmb[3] = pkts[i++];\n-\t\teth_hdr[3] = rte_pktmbuf_mtod(mb[3], struct ether_hdr *);\n+\t\teth_hdr[3] = rte_pktmbuf_mtod(mb[3], struct rte_ether_hdr *);\n \n \t\tv0 = vld1q_u8((uint8_t const *)eth_hdr[0]);\n \t\tv1 = vld1q_u8((uint8_t const *)eth_hdr[1]);\n@@ -83,7 +83,7 @@ do_macswap(struct rte_mbuf *pkts[], uint16_t nb,\n \t\tif (i < nb - 1)\n \t\t\trte_prefetch0(rte_pktmbuf_mtod(pkts[i+1], void *));\n \t\tmb[0] = pkts[i];\n-\t\teth_hdr[0] = rte_pktmbuf_mtod(mb[0], struct ether_hdr *);\n+\t\teth_hdr[0] = rte_pktmbuf_mtod(mb[0], struct rte_ether_hdr *);\n \n \t\t/* Swap dest and src mac addresses. */\n \t\tv0 = vld1q_u8((uint8_t const *)eth_hdr[0]);\ndiff --git a/app/test-pmd/macswap_sse.h b/app/test-pmd/macswap_sse.h\nindex 2b6e7324d..223f87a53 100644\n--- a/app/test-pmd/macswap_sse.h\n+++ b/app/test-pmd/macswap_sse.h\n@@ -11,7 +11,7 @@ static inline void\n do_macswap(struct rte_mbuf *pkts[], uint16_t nb,\n \t\tstruct rte_port *txp)\n {\n-\tstruct ether_hdr *eth_hdr[4];\n+\tstruct rte_ether_hdr *eth_hdr[4];\n \tstruct rte_mbuf *mb[4];\n \tuint64_t ol_flags;\n \tint i;\n@@ -43,20 +43,20 @@ do_macswap(struct rte_mbuf *pkts[], uint16_t nb,\n \t\t}\n \n \t\tmb[0] = pkts[i++];\n-\t\teth_hdr[0] = rte_pktmbuf_mtod(mb[0], struct ether_hdr *);\n+\t\teth_hdr[0] = rte_pktmbuf_mtod(mb[0], struct rte_ether_hdr *);\n \t\taddr0 = _mm_loadu_si128((__m128i *)eth_hdr[0]);\n \n \t\tmb[1] = pkts[i++];\n-\t\teth_hdr[1] = rte_pktmbuf_mtod(mb[1], struct ether_hdr *);\n+\t\teth_hdr[1] = rte_pktmbuf_mtod(mb[1], struct rte_ether_hdr *);\n \t\taddr1 = _mm_loadu_si128((__m128i *)eth_hdr[1]);\n \n \n \t\tmb[2] = pkts[i++];\n-\t\teth_hdr[2] = rte_pktmbuf_mtod(mb[2], struct ether_hdr *);\n+\t\teth_hdr[2] = rte_pktmbuf_mtod(mb[2], struct rte_ether_hdr *);\n \t\taddr2 = _mm_loadu_si128((__m128i *)eth_hdr[2]);\n \n \t\tmb[3] = pkts[i++];\n-\t\teth_hdr[3] = rte_pktmbuf_mtod(mb[3], struct ether_hdr *);\n+\t\teth_hdr[3] = rte_pktmbuf_mtod(mb[3], struct rte_ether_hdr *);\n \t\taddr3 = _mm_loadu_si128((__m128i *)eth_hdr[3]);\n \n \t\taddr0 = _mm_shuffle_epi8(addr0, shfl_msk);\n@@ -80,7 +80,7 @@ do_macswap(struct rte_mbuf *pkts[], uint16_t nb,\n \t\tif (i < nb - 1)\n \t\t\trte_prefetch0(rte_pktmbuf_mtod(pkts[i+1], void *));\n \t\tmb[0] = pkts[i];\n-\t\teth_hdr[0] = rte_pktmbuf_mtod(mb[0], struct ether_hdr *);\n+\t\teth_hdr[0] = rte_pktmbuf_mtod(mb[0], struct rte_ether_hdr *);\n \n \t\t/* Swap dest and src mac addresses. */\n \t\taddr0 = _mm_loadu_si128((__m128i *)eth_hdr[0]);\ndiff --git a/app/test-pmd/testpmd.c b/app/test-pmd/testpmd.c\nindex f0061d99f..2c736ecac 100644\n--- a/app/test-pmd/testpmd.c\n+++ b/app/test-pmd/testpmd.c\n@@ -137,7 +137,7 @@ uint8_t txring_numa[RTE_MAX_ETHPORTS];\n  * Must be instantiated with the ethernet addresses of peer traffic generator\n  * ports.\n  */\n-struct ether_addr peer_eth_addrs[RTE_MAX_ETHPORTS];\n+struct rte_ether_addr peer_eth_addrs[RTE_MAX_ETHPORTS];\n portid_t nb_peer_eth_addrs = 0;\n \n /*\n@@ -2018,7 +2018,7 @@ start_port(portid_t pid)\n \tportid_t pi;\n \tqueueid_t qi;\n \tstruct rte_port *port;\n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_addr mac_addr;\n \n \tif (port_id_is_invalid(pid, ENABLED_WARN))\n \t\treturn 0;\ndiff --git a/app/test-pmd/testpmd.h b/app/test-pmd/testpmd.h\nindex 1d9b7a245..abee0a952 100644\n--- a/app/test-pmd/testpmd.h\n+++ b/app/test-pmd/testpmd.h\n@@ -162,7 +162,7 @@ struct softnic_port {\n struct rte_port {\n \tstruct rte_eth_dev_info dev_info;   /**< PCI info + driver name */\n \tstruct rte_eth_conf     dev_conf;   /**< Port configuration. */\n-\tstruct ether_addr       eth_addr;   /**< Port ethernet address */\n+\tstruct rte_ether_addr       eth_addr;   /**< Port ethernet address */\n \tstruct rte_eth_stats    stats;      /**< Last port statistics */\n \tunsigned int            socket_id;  /**< For NUMA support */\n \tuint16_t\t\tparse_tunnel:1; /**< Parse internal headers */\n@@ -182,7 +182,7 @@ struct rte_port {\n \tuint16_t                nb_tx_desc[MAX_QUEUE_ID+1]; /**< per queue tx desc number */\n \tstruct rte_eth_rxconf   rx_conf[MAX_QUEUE_ID+1]; /**< per queue rx configuration */\n \tstruct rte_eth_txconf   tx_conf[MAX_QUEUE_ID+1]; /**< per queue tx configuration */\n-\tstruct ether_addr       *mc_addr_pool; /**< pool of multicast addrs */\n+\tstruct rte_ether_addr   *mc_addr_pool; /**< pool of multicast addrs */\n \tuint32_t                mc_addr_nb; /**< nb. of addr. in mc_addr_pool */\n \tuint8_t                 slave_flag; /**< bonding slave port */\n \tstruct port_flow        *flow_list; /**< Associated flows. */\n@@ -460,7 +460,7 @@ extern struct fwd_stream **fwd_streams;\n extern uint16_t vxlan_gpe_udp_port; /**< UDP port of tunnel VXLAN-GPE. */\n \n extern portid_t nb_peer_eth_addrs; /**< Number of peer ethernet addresses. */\n-extern struct ether_addr peer_eth_addrs[RTE_MAX_ETHPORTS];\n+extern struct rte_ether_addr peer_eth_addrs[RTE_MAX_ETHPORTS];\n \n extern uint32_t burst_tx_delay_time; /**< Burst tx delay time(us) for mac-retry. */\n extern uint32_t burst_tx_retry_num;  /**< Burst tx retry number for mac-retry. */\n@@ -819,8 +819,8 @@ void show_gro(portid_t port_id);\n void setup_gso(const char *mode, portid_t port_id);\n \n /* Functions to manage the set of filtered Multicast MAC addresses */\n-void mcast_addr_add(portid_t port_id, struct ether_addr *mc_addr);\n-void mcast_addr_remove(portid_t port_id, struct ether_addr *mc_addr);\n+void mcast_addr_add(portid_t port_id, struct rte_ether_addr *mc_addr);\n+void mcast_addr_remove(portid_t port_id, struct rte_ether_addr *mc_addr);\n void port_dcb_info_display(portid_t port_id);\n \n uint8_t *open_file(const char *file_path, uint32_t *size);\ndiff --git a/app/test-pmd/txonly.c b/app/test-pmd/txonly.c\nindex fdfca14cb..e558b4018 100644\n--- a/app/test-pmd/txonly.c\n+++ b/app/test-pmd/txonly.c\n@@ -152,7 +152,7 @@ setup_pkt_udp_ip_headers(struct ipv4_hdr *ip_hdr,\n \n static inline bool\n pkt_burst_prepare(struct rte_mbuf *pkt, struct rte_mempool *mbp,\n-\t\tstruct ether_hdr *eth_hdr, const uint16_t vlan_tci,\n+\t\tstruct rte_ether_hdr *eth_hdr, const uint16_t vlan_tci,\n \t\tconst uint16_t vlan_tci_outer, const uint64_t ol_flags)\n {\n \tstruct rte_mbuf *pkt_segs[RTE_MAX_SEGS_PER_PKT];\n@@ -176,7 +176,7 @@ pkt_burst_prepare(struct rte_mbuf *pkt, struct rte_mempool *mbp,\n \tpkt->ol_flags = ol_flags;\n \tpkt->vlan_tci = vlan_tci;\n \tpkt->vlan_tci_outer = vlan_tci_outer;\n-\tpkt->l2_len = sizeof(struct ether_hdr);\n+\tpkt->l2_len = sizeof(struct rte_ether_hdr);\n \tpkt->l3_len = sizeof(struct ipv4_hdr);\n \n \tpkt_len = pkt->data_len;\n@@ -193,14 +193,14 @@ pkt_burst_prepare(struct rte_mbuf *pkt, struct rte_mempool *mbp,\n \t */\n \tcopy_buf_to_pkt(eth_hdr, sizeof(*eth_hdr), pkt, 0);\n \tcopy_buf_to_pkt(&pkt_ip_hdr, sizeof(pkt_ip_hdr), pkt,\n-\t\t\tsizeof(struct ether_hdr));\n+\t\t\tsizeof(struct rte_ether_hdr));\n \tif (txonly_multi_flow) {\n \t\tstruct ipv4_hdr *ip_hdr;\n \t\tuint32_t addr;\n \n \t\tip_hdr = rte_pktmbuf_mtod_offset(pkt,\n \t\t\t\tstruct ipv4_hdr *,\n-\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\tsizeof(struct rte_ether_hdr));\n \t\t/*\n \t\t * Generate multiple flows by varying IP src addr. This\n \t\t * enables packets are well distributed by RSS in\n@@ -212,7 +212,7 @@ pkt_burst_prepare(struct rte_mbuf *pkt, struct rte_mempool *mbp,\n \t\tip_hdr->src_addr = rte_cpu_to_be_32(addr);\n \t}\n \tcopy_buf_to_pkt(&pkt_udp_hdr, sizeof(pkt_udp_hdr), pkt,\n-\t\t\tsizeof(struct ether_hdr) +\n+\t\t\tsizeof(struct rte_ether_hdr) +\n \t\t\tsizeof(struct ipv4_hdr));\n \t/*\n \t * Complete first mbuf of packet and append it to the\n@@ -234,7 +234,7 @@ pkt_burst_transmit(struct fwd_stream *fs)\n \tstruct rte_port *txp;\n \tstruct rte_mbuf *pkt;\n \tstruct rte_mempool *mbp;\n-\tstruct ether_hdr eth_hdr;\n+\tstruct rte_ether_hdr eth_hdr;\n \tuint16_t nb_tx;\n \tuint16_t nb_pkt;\n \tuint16_t vlan_tci, vlan_tci_outer;\n@@ -347,9 +347,10 @@ tx_only_begin(__attribute__((unused)) portid_t pi)\n {\n \tuint16_t pkt_data_len;\n \n-\tpkt_data_len = (uint16_t) (tx_pkt_length - (sizeof(struct ether_hdr) +\n-\t\t\t\t\t\t    sizeof(struct ipv4_hdr) +\n-\t\t\t\t\t\t    sizeof(struct udp_hdr)));\n+\tpkt_data_len = (uint16_t) (tx_pkt_length - (\n+\t\t\t\t\tsizeof(struct rte_ether_hdr) +\n+\t\t\t\t\tsizeof(struct ipv4_hdr) +\n+\t\t\t\t\tsizeof(struct udp_hdr)));\n \tsetup_pkt_udp_ip_headers(&pkt_ip_hdr, &pkt_udp_hdr, pkt_data_len);\n }\n \ndiff --git a/app/test-pmd/util.c b/app/test-pmd/util.c\nindex 6b0791daa..0544b8e53 100644\n--- a/app/test-pmd/util.c\n+++ b/app/test-pmd/util.c\n@@ -14,7 +14,7 @@\n #include \"testpmd.h\"\n \n static inline void\n-print_ether_addr(const char *what, struct ether_addr *eth_addr)\n+print_ether_addr(const char *what, struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n \tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n@@ -26,7 +26,7 @@ dump_pkt_burst(uint16_t port_id, uint16_t queue, struct rte_mbuf *pkts[],\n \t      uint16_t nb_pkts, int is_rx)\n {\n \tstruct rte_mbuf  *mb;\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tuint16_t eth_type;\n \tuint64_t ol_flags;\n \tuint16_t i, packet_type;\n@@ -46,7 +46,7 @@ dump_pkt_burst(uint16_t port_id, uint16_t queue, struct rte_mbuf *pkts[],\n \t       (unsigned int) nb_pkts);\n \tfor (i = 0; i < nb_pkts; i++) {\n \t\tmb = pkts[i];\n-\t\teth_hdr = rte_pktmbuf_mtod(mb, struct ether_hdr *);\n+\t\teth_hdr = rte_pktmbuf_mtod(mb, struct rte_ether_hdr *);\n \t\teth_type = RTE_BE_TO_CPU_16(eth_hdr->ether_type);\n \t\tol_flags = mb->ol_flags;\n \t\tpacket_type = mb->packet_type;\n@@ -110,9 +110,9 @@ dump_pkt_burst(uint16_t port_id, uint16_t queue, struct rte_mbuf *pkts[],\n \t\t\tuint8_t l3_len;\n \t\t\tuint8_t l4_len;\n \t\t\tuint8_t l4_proto;\n-\t\t\tstruct  vxlan_hdr *vxlan_hdr;\n+\t\t\tstruct  rte_vxlan_hdr *vxlan_hdr;\n \n-\t\t\tl2_len  = sizeof(struct ether_hdr);\n+\t\t\tl2_len  = sizeof(struct rte_ether_hdr);\n \n \t\t\t/* Do not support ipv4 option field */\n \t\t\tif (RTE_ETH_IS_IPV4_HDR(packet_type)) {\n@@ -134,7 +134,7 @@ dump_pkt_burst(uint16_t port_id, uint16_t queue, struct rte_mbuf *pkts[],\n \t\t\t\tl2_len + l3_len);\n \t\t\t\tl4_len = sizeof(struct udp_hdr);\n \t\t\t\tvxlan_hdr = rte_pktmbuf_mtod_offset(mb,\n-\t\t\t\tstruct vxlan_hdr *,\n+\t\t\t\tstruct rte_vxlan_hdr *,\n \t\t\t\tl2_len + l3_len + l4_len);\n \t\t\t\tudp_port = RTE_BE_TO_CPU_16(udp_hdr->dst_port);\n \t\t\t\tvx_vni = rte_be_to_cpu_32(vxlan_hdr->vx_vni);\ndiff --git a/app/test/packet_burst_generator.c b/app/test/packet_burst_generator.c\nindex ccc0bd591..a05e3c86c 100644\n--- a/app/test/packet_burst_generator.c\n+++ b/app/test/packet_burst_generator.c\n@@ -53,16 +53,17 @@ copy_buf_to_pkt(void *buf, unsigned len, struct rte_mbuf *pkt, unsigned offset)\n }\n \n void\n-initialize_eth_header(struct ether_hdr *eth_hdr, struct ether_addr *src_mac,\n-\t\tstruct ether_addr *dst_mac, uint16_t ether_type,\n+initialize_eth_header(struct rte_ether_hdr *eth_hdr,\n+\t\tstruct rte_ether_addr *src_mac,\n+\t\tstruct rte_ether_addr *dst_mac, uint16_t ether_type,\n \t\tuint8_t vlan_enabled, uint16_t van_id)\n {\n \tether_addr_copy(dst_mac, &eth_hdr->d_addr);\n \tether_addr_copy(src_mac, &eth_hdr->s_addr);\n \n \tif (vlan_enabled) {\n-\t\tstruct vlan_hdr *vhdr = (struct vlan_hdr *)((uint8_t *)eth_hdr +\n-\t\t\t\tsizeof(struct ether_hdr));\n+\t\tstruct rte_vlan_hdr *vhdr = (struct rte_vlan_hdr *)(\n+\t\t\t(uint8_t *)eth_hdr + sizeof(struct rte_ether_hdr));\n \n \t\teth_hdr->ether_type = rte_cpu_to_be_16(ETHER_TYPE_VLAN);\n \n@@ -74,8 +75,10 @@ initialize_eth_header(struct ether_hdr *eth_hdr, struct ether_addr *src_mac,\n }\n \n void\n-initialize_arp_header(struct rte_arp_hdr *arp_hdr, struct ether_addr *src_mac,\n-\t\tstruct ether_addr *dst_mac, uint32_t src_ip, uint32_t dst_ip,\n+initialize_arp_header(struct rte_arp_hdr *arp_hdr,\n+\t\tstruct rte_ether_addr *src_mac,\n+\t\tstruct rte_ether_addr *dst_mac,\n+\t\tuint32_t src_ip, uint32_t dst_ip,\n \t\tuint32_t opcode)\n {\n \tarp_hdr->arp_hardware = rte_cpu_to_be_16(RTE_ARP_HRD_ETHER);\n@@ -256,9 +259,9 @@ initialize_ipv4_header_proto(struct ipv4_hdr *ip_hdr, uint32_t src_addr,\n \n int\n generate_packet_burst(struct rte_mempool *mp, struct rte_mbuf **pkts_burst,\n-\t\tstruct ether_hdr *eth_hdr, uint8_t vlan_enabled, void *ip_hdr,\n-\t\tuint8_t ipv4, struct udp_hdr *udp_hdr, int nb_pkt_per_burst,\n-\t\tuint8_t pkt_len, uint8_t nb_pkt_segs)\n+\t\tstruct rte_ether_hdr *eth_hdr, uint8_t vlan_enabled,\n+\t\tvoid *ip_hdr, uint8_t ipv4, struct udp_hdr *udp_hdr,\n+\t\tint nb_pkt_per_burst, uint8_t pkt_len, uint8_t nb_pkt_segs)\n {\n \tint i, nb_pkt = 0;\n \tsize_t eth_hdr_size;\n@@ -293,9 +296,10 @@ generate_packet_burst(struct rte_mempool *mp, struct rte_mbuf **pkts_burst,\n \t\t * Copy headers in first packet segment(s).\n \t\t */\n \t\tif (vlan_enabled)\n-\t\t\teth_hdr_size = sizeof(struct ether_hdr) + sizeof(struct vlan_hdr);\n+\t\t\teth_hdr_size = sizeof(struct rte_ether_hdr) +\n+\t\t\t\tsizeof(struct rte_vlan_hdr);\n \t\telse\n-\t\t\teth_hdr_size = sizeof(struct ether_hdr);\n+\t\t\teth_hdr_size = sizeof(struct rte_ether_hdr);\n \n \t\tcopy_buf_to_pkt(eth_hdr, eth_hdr_size, pkt, 0);\n \n@@ -333,8 +337,8 @@ generate_packet_burst(struct rte_mempool *mp, struct rte_mbuf **pkts_burst,\n \n int\n generate_packet_burst_proto(struct rte_mempool *mp,\n-\t\tstruct rte_mbuf **pkts_burst,\n-\t\tstruct ether_hdr *eth_hdr, uint8_t vlan_enabled, void *ip_hdr,\n+\t\tstruct rte_mbuf **pkts_burst, struct rte_ether_hdr *eth_hdr,\n+\t\tuint8_t vlan_enabled, void *ip_hdr,\n \t\tuint8_t ipv4, uint8_t proto, void *proto_hdr,\n \t\tint nb_pkt_per_burst, uint8_t pkt_len, uint8_t nb_pkt_segs)\n {\n@@ -371,10 +375,10 @@ generate_packet_burst_proto(struct rte_mempool *mp,\n \t\t * Copy headers in first packet segment(s).\n \t\t */\n \t\tif (vlan_enabled)\n-\t\t\teth_hdr_size = sizeof(struct ether_hdr) +\n-\t\t\t\tsizeof(struct vlan_hdr);\n+\t\t\teth_hdr_size = sizeof(struct rte_ether_hdr) +\n+\t\t\t\tsizeof(struct rte_vlan_hdr);\n \t\telse\n-\t\t\teth_hdr_size = sizeof(struct ether_hdr);\n+\t\t\teth_hdr_size = sizeof(struct rte_ether_hdr);\n \n \t\tcopy_buf_to_pkt(eth_hdr, eth_hdr_size, pkt, 0);\n \ndiff --git a/app/test/packet_burst_generator.h b/app/test/packet_burst_generator.h\nindex b6e013a11..744e21a1f 100644\n--- a/app/test/packet_burst_generator.h\n+++ b/app/test/packet_burst_generator.h\n@@ -24,14 +24,15 @@ extern \"C\" {\n #define PACKET_BURST_GEN_PKT_LEN_128 128\n \n void\n-initialize_eth_header(struct ether_hdr *eth_hdr, struct ether_addr *src_mac,\n-\t\tstruct ether_addr *dst_mac, uint16_t ether_type,\n+initialize_eth_header(struct rte_ether_hdr *eth_hdr,\n+\t\tstruct rte_ether_addr *src_mac,\n+\t\tstruct rte_ether_addr *dst_mac, uint16_t ether_type,\n \t\tuint8_t vlan_enabled, uint16_t van_id);\n \n void\n-initialize_arp_header(struct rte_arp_hdr *arp_hdr, struct ether_addr *src_mac,\n-\t\tstruct ether_addr *dst_mac, uint32_t src_ip, uint32_t dst_ip,\n-\t\tuint32_t opcode);\n+initialize_arp_header(struct rte_arp_hdr *arp_hdr,\n+\t\tstruct rte_ether_addr *src_mac, struct rte_ether_addr *dst_mac,\n+\t\tuint32_t src_ip, uint32_t dst_ip, uint32_t opcode);\n \n uint16_t\n initialize_udp_header(struct udp_hdr *udp_hdr, uint16_t src_port,\n@@ -59,14 +60,14 @@ initialize_ipv4_header_proto(struct ipv4_hdr *ip_hdr, uint32_t src_addr,\n \n int\n generate_packet_burst(struct rte_mempool *mp, struct rte_mbuf **pkts_burst,\n-\t\tstruct ether_hdr *eth_hdr, uint8_t vlan_enabled, void *ip_hdr,\n-\t\tuint8_t ipv4, struct udp_hdr *udp_hdr, int nb_pkt_per_burst,\n-\t\tuint8_t pkt_len, uint8_t nb_pkt_segs);\n+\t\tstruct rte_ether_hdr *eth_hdr, uint8_t vlan_enabled,\n+\t\tvoid *ip_hdr, uint8_t ipv4, struct udp_hdr *udp_hdr,\n+\t\tint nb_pkt_per_burst, uint8_t pkt_len, uint8_t nb_pkt_segs);\n \n int\n generate_packet_burst_proto(struct rte_mempool *mp,\n-\t\tstruct rte_mbuf **pkts_burst,\n-\t\tstruct ether_hdr *eth_hdr, uint8_t vlan_enabled, void *ip_hdr,\n+\t\tstruct rte_mbuf **pkts_burst, struct rte_ether_hdr *eth_hdr,\n+\t\tuint8_t vlan_enabled, void *ip_hdr,\n \t\tuint8_t ipv4, uint8_t proto, void *proto_hdr,\n \t\tint nb_pkt_per_burst, uint8_t pkt_len, uint8_t nb_pkt_segs);\n \ndiff --git a/app/test/test_cmdline_etheraddr.c b/app/test/test_cmdline_etheraddr.c\nindex 086108ab2..90943c2b4 100644\n--- a/app/test/test_cmdline_etheraddr.c\n+++ b/app/test/test_cmdline_etheraddr.c\n@@ -82,7 +82,7 @@ const char * ether_addr_invalid_strs[] = {\n \n \n static int\n-is_addr_different(const struct ether_addr addr, uint64_t num)\n+is_addr_different(const struct rte_ether_addr addr, uint64_t num)\n {\n \tint i;\n \tfor (i = 0; i < ETHER_ADDR_LEN; i++, num >>= 8)\n@@ -97,7 +97,7 @@ int\n test_parse_etheraddr_invalid_param(void)\n {\n \tchar buf[CMDLINE_TEST_BUFSIZE];\n-\tstruct ether_addr result;\n+\tstruct rte_ether_addr result;\n \tint ret = 0;\n \n \t/* try all null */\n@@ -148,12 +148,12 @@ test_parse_etheraddr_invalid_data(void)\n {\n \tint ret = 0;\n \tunsigned i;\n-\tstruct ether_addr result;\n+\tstruct rte_ether_addr result;\n \n \t/* test full strings */\n \tfor (i = 0; i < ETHERADDR_INVALID_STRS_SIZE; i++) {\n \n-\t\tmemset(&result, 0, sizeof(struct ether_addr));\n+\t\tmemset(&result, 0, sizeof(struct rte_ether_addr));\n \n \t\tret = cmdline_parse_etheraddr(NULL, ether_addr_invalid_strs[i],\n \t\t\t(void*)&result, sizeof(result));\n@@ -173,12 +173,12 @@ test_parse_etheraddr_valid(void)\n {\n \tint ret = 0;\n \tunsigned i;\n-\tstruct ether_addr result;\n+\tstruct rte_ether_addr result;\n \n \t/* test full strings */\n \tfor (i = 0; i < ETHERADDR_VALID_STRS_SIZE; i++) {\n \n-\t\tmemset(&result, 0, sizeof(struct ether_addr));\n+\t\tmemset(&result, 0, sizeof(struct rte_ether_addr));\n \n \t\tret = cmdline_parse_etheraddr(NULL, ether_addr_valid_strs[i].str,\n \t\t\t(void*)&result, sizeof(result));\n@@ -197,7 +197,7 @@ test_parse_etheraddr_valid(void)\n \t/* test garbage strings */\n \tfor (i = 0; i < ETHERADDR_GARBAGE_STRS_SIZE; i++) {\n \n-\t\tmemset(&result, 0, sizeof(struct ether_addr));\n+\t\tmemset(&result, 0, sizeof(struct rte_ether_addr));\n \n \t\tret = cmdline_parse_etheraddr(NULL, ether_addr_garbage_strs[i],\n \t\t\t(void*)&result, sizeof(result));\ndiff --git a/app/test/test_event_eth_rx_adapter.c b/app/test/test_event_eth_rx_adapter.c\nindex 38f5c039f..953b82745 100644\n--- a/app/test/test_event_eth_rx_adapter.c\n+++ b/app/test/test_event_eth_rx_adapter.c\n@@ -78,7 +78,7 @@ port_init_common(uint16_t port, const struct rte_eth_conf *port_conf,\n \t\treturn retval;\n \n \t/* Display the port MAC address. */\n-\tstruct ether_addr addr;\n+\tstruct rte_ether_addr addr;\n \trte_eth_macaddr_get(port, &addr);\n \tprintf(\"Port %u MAC: %02\" PRIx8 \" %02\" PRIx8 \" %02\" PRIx8\n \t\t\t   \" %02\" PRIx8 \" %02\" PRIx8 \" %02\" PRIx8 \"\\n\",\ndiff --git a/app/test/test_event_eth_tx_adapter.c b/app/test/test_event_eth_tx_adapter.c\nindex c26c5152c..208d20c53 100644\n--- a/app/test/test_event_eth_tx_adapter.c\n+++ b/app/test/test_event_eth_tx_adapter.c\n@@ -84,7 +84,7 @@ port_init_common(uint8_t port, const struct rte_eth_conf *port_conf,\n \t\treturn retval;\n \n \t/* Display the port MAC address. */\n-\tstruct ether_addr addr;\n+\tstruct rte_ether_addr addr;\n \trte_eth_macaddr_get(port, &addr);\n \tprintf(\"Port %u MAC: %02\" PRIx8 \" %02\" PRIx8 \" %02\" PRIx8\n \t\t\t   \" %02\" PRIx8 \" %02\" PRIx8 \" %02\" PRIx8 \"\\n\",\ndiff --git a/app/test/test_flow_classify.c b/app/test/test_flow_classify.c\nindex 5f5beeee7..f81bbba3a 100644\n--- a/app/test/test_flow_classify.c\n+++ b/app/test/test_flow_classify.c\n@@ -39,7 +39,7 @@ static struct rte_acl_field_def ipv4_defs[NUM_FIELDS_IPV4] = {\n \t\t.size = sizeof(uint8_t),\n \t\t.field_index = PROTO_FIELD_IPV4,\n \t\t.input_index = PROTO_INPUT_IPV4,\n-\t\t.offset = sizeof(struct ether_hdr) +\n+\t\t.offset = sizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv4_hdr, next_proto_id),\n \t},\n \t/* next input field (IPv4 source address) - 4 consecutive bytes. */\n@@ -49,7 +49,7 @@ static struct rte_acl_field_def ipv4_defs[NUM_FIELDS_IPV4] = {\n \t\t.size = sizeof(uint32_t),\n \t\t.field_index = SRC_FIELD_IPV4,\n \t\t.input_index = SRC_INPUT_IPV4,\n-\t\t.offset = sizeof(struct ether_hdr) +\n+\t\t.offset = sizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv4_hdr, src_addr),\n \t},\n \t/* next input field (IPv4 destination address) - 4 consecutive bytes. */\n@@ -59,7 +59,7 @@ static struct rte_acl_field_def ipv4_defs[NUM_FIELDS_IPV4] = {\n \t\t.size = sizeof(uint32_t),\n \t\t.field_index = DST_FIELD_IPV4,\n \t\t.input_index = DST_INPUT_IPV4,\n-\t\t.offset = sizeof(struct ether_hdr) +\n+\t\t.offset = sizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv4_hdr, dst_addr),\n \t},\n \t/*\n@@ -72,7 +72,7 @@ static struct rte_acl_field_def ipv4_defs[NUM_FIELDS_IPV4] = {\n \t\t.size = sizeof(uint16_t),\n \t\t.field_index = SRCP_FIELD_IPV4,\n \t\t.input_index = SRCP_DESTP_INPUT_IPV4,\n-\t\t.offset = sizeof(struct ether_hdr) +\n+\t\t.offset = sizeof(struct rte_ether_hdr) +\n \t\t\tsizeof(struct ipv4_hdr) +\n \t\t\toffsetof(struct tcp_hdr, src_port),\n \t},\n@@ -82,7 +82,7 @@ static struct rte_acl_field_def ipv4_defs[NUM_FIELDS_IPV4] = {\n \t\t.size = sizeof(uint16_t),\n \t\t.field_index = DSTP_FIELD_IPV4,\n \t\t.input_index = SRCP_DESTP_INPUT_IPV4,\n-\t\t.offset = sizeof(struct ether_hdr) +\n+\t\t.offset = sizeof(struct rte_ether_hdr) +\n \t\t\tsizeof(struct ipv4_hdr) +\n \t\t\toffsetof(struct tcp_hdr, dst_port),\n \t},\n@@ -489,7 +489,7 @@ static int\n init_ipv4_udp_traffic(struct rte_mempool *mp,\n \t     struct rte_mbuf **pkts_burst, uint32_t burst_size)\n {\n-\tstruct ether_hdr pkt_eth_hdr;\n+\tstruct rte_ether_hdr pkt_eth_hdr;\n \tstruct ipv4_hdr pkt_ipv4_hdr;\n \tstruct udp_hdr pkt_udp_hdr;\n \tuint32_t src_addr = IPV4_ADDR(2, 2, 2, 3);\n@@ -503,9 +503,9 @@ init_ipv4_udp_traffic(struct rte_mempool *mp,\n \n \tprintf(\"Set up IPv4 UDP traffic\\n\");\n \tinitialize_eth_header(&pkt_eth_hdr,\n-\t\t(struct ether_addr *)src_mac,\n-\t\t(struct ether_addr *)dst_mac, ETHER_TYPE_IPv4, 0, 0);\n-\tpktlen = (uint16_t)(sizeof(struct ether_hdr));\n+\t\t(struct rte_ether_addr *)src_mac,\n+\t\t(struct rte_ether_addr *)dst_mac, ETHER_TYPE_IPv4, 0, 0);\n+\tpktlen = (uint16_t)(sizeof(struct rte_ether_hdr));\n \tprintf(\"ETH  pktlen %u\\n\", pktlen);\n \n \tpktlen = initialize_ipv4_header(&pkt_ipv4_hdr, src_addr, dst_addr,\n@@ -526,7 +526,7 @@ static int\n init_ipv4_tcp_traffic(struct rte_mempool *mp,\n \t     struct rte_mbuf **pkts_burst, uint32_t burst_size)\n {\n-\tstruct ether_hdr pkt_eth_hdr;\n+\tstruct rte_ether_hdr pkt_eth_hdr;\n \tstruct ipv4_hdr pkt_ipv4_hdr;\n \tstruct tcp_hdr pkt_tcp_hdr;\n \tuint32_t src_addr = IPV4_ADDR(1, 2, 3, 4);\n@@ -540,9 +540,9 @@ init_ipv4_tcp_traffic(struct rte_mempool *mp,\n \n \tprintf(\"Set up IPv4 TCP traffic\\n\");\n \tinitialize_eth_header(&pkt_eth_hdr,\n-\t\t(struct ether_addr *)src_mac,\n-\t\t(struct ether_addr *)dst_mac, ETHER_TYPE_IPv4, 0, 0);\n-\tpktlen = (uint16_t)(sizeof(struct ether_hdr));\n+\t\t(struct rte_ether_addr *)src_mac,\n+\t\t(struct rte_ether_addr *)dst_mac, ETHER_TYPE_IPv4, 0, 0);\n+\tpktlen = (uint16_t)(sizeof(struct rte_ether_hdr));\n \tprintf(\"ETH  pktlen %u\\n\", pktlen);\n \n \tpktlen = initialize_ipv4_header_proto(&pkt_ipv4_hdr, src_addr,\n@@ -563,7 +563,7 @@ static int\n init_ipv4_sctp_traffic(struct rte_mempool *mp,\n \t     struct rte_mbuf **pkts_burst, uint32_t burst_size)\n {\n-\tstruct ether_hdr pkt_eth_hdr;\n+\tstruct rte_ether_hdr pkt_eth_hdr;\n \tstruct ipv4_hdr pkt_ipv4_hdr;\n \tstruct sctp_hdr pkt_sctp_hdr;\n \tuint32_t src_addr = IPV4_ADDR(11, 12, 13, 14);\n@@ -577,9 +577,9 @@ init_ipv4_sctp_traffic(struct rte_mempool *mp,\n \n \tprintf(\"Set up IPv4 SCTP traffic\\n\");\n \tinitialize_eth_header(&pkt_eth_hdr,\n-\t\t(struct ether_addr *)src_mac,\n-\t\t(struct ether_addr *)dst_mac, ETHER_TYPE_IPv4, 0, 0);\n-\tpktlen = (uint16_t)(sizeof(struct ether_hdr));\n+\t\t(struct rte_ether_addr *)src_mac,\n+\t\t(struct rte_ether_addr *)dst_mac, ETHER_TYPE_IPv4, 0, 0);\n+\tpktlen = (uint16_t)(sizeof(struct rte_ether_hdr));\n \tprintf(\"ETH  pktlen %u\\n\", pktlen);\n \n \tpktlen = initialize_ipv4_header_proto(&pkt_ipv4_hdr, src_addr,\ndiff --git a/app/test/test_link_bonding.c b/app/test/test_link_bonding.c\nindex 451c693d6..e4946dcca 100644\n--- a/app/test/test_link_bonding.c\n+++ b/app/test/test_link_bonding.c\n@@ -73,11 +73,11 @@ struct link_bonding_unittest_params {\n \n \tstruct rte_mempool *mbuf_pool;\n \n-\tstruct ether_addr *default_slave_mac;\n-\tstruct ether_addr *default_bonded_mac;\n+\tstruct rte_ether_addr *default_slave_mac;\n+\tstruct rte_ether_addr *default_bonded_mac;\n \n \t/* Packet Headers */\n-\tstruct ether_hdr *pkt_eth_hdr;\n+\tstruct rte_ether_hdr *pkt_eth_hdr;\n \tstruct ipv4_hdr *pkt_ipv4_hdr;\n \tstruct ipv6_hdr *pkt_ipv6_hdr;\n \tstruct udp_hdr *pkt_udp_hdr;\n@@ -99,8 +99,8 @@ static struct link_bonding_unittest_params default_params  = {\n \n \t.mbuf_pool = NULL,\n \n-\t.default_slave_mac = (struct ether_addr *)slave_mac,\n-\t.default_bonded_mac = (struct ether_addr *)bonded_mac,\n+\t.default_slave_mac = (struct rte_ether_addr *)slave_mac,\n+\t.default_bonded_mac = (struct rte_ether_addr *)bonded_mac,\n \n \t.pkt_eth_hdr = NULL,\n \t.pkt_ipv4_hdr = &pkt_ipv4_hdr,\n@@ -211,12 +211,12 @@ static int\n test_setup(void)\n {\n \tint i, nb_mbuf_per_pool;\n-\tstruct ether_addr *mac_addr = (struct ether_addr *)slave_mac;\n+\tstruct rte_ether_addr *mac_addr = (struct rte_ether_addr *)slave_mac;\n \n \t/* Allocate ethernet packet header with space for VLAN header */\n \tif (test_params->pkt_eth_hdr == NULL) {\n-\t\ttest_params->pkt_eth_hdr = malloc(sizeof(struct ether_hdr) +\n-\t\t\t\tsizeof(struct vlan_hdr));\n+\t\ttest_params->pkt_eth_hdr = malloc(sizeof(struct rte_ether_hdr) +\n+\t\t\t\tsizeof(struct rte_vlan_hdr));\n \n \t\tTEST_ASSERT_NOT_NULL(test_params->pkt_eth_hdr,\n \t\t\t\t\"Ethernet header struct allocation failed!\");\n@@ -377,7 +377,7 @@ static int\n test_remove_slave_from_bonded_device(void)\n {\n \tint current_slave_count;\n-\tstruct ether_addr read_mac_addr, *mac_addr;\n+\tstruct rte_ether_addr read_mac_addr, *mac_addr;\n \tuint16_t slaves[RTE_MAX_ETHPORTS];\n \n \tTEST_ASSERT_SUCCESS(rte_eth_bond_slave_remove(test_params->bonded_port_id,\n@@ -395,7 +395,7 @@ test_remove_slave_from_bonded_device(void)\n \t\t\tcurrent_slave_count, test_params->bonded_slave_count - 1);\n \n \n-\tmac_addr = (struct ether_addr *)slave_mac;\n+\tmac_addr = (struct rte_ether_addr *)slave_mac;\n \tmac_addr->addr_bytes[ETHER_ADDR_LEN-1] =\n \t\t\ttest_params->bonded_slave_count-1;\n \n@@ -700,8 +700,8 @@ static int\n test_set_primary_slave(void)\n {\n \tint i, j, retval;\n-\tstruct ether_addr read_mac_addr;\n-\tstruct ether_addr *expected_mac_addr;\n+\tstruct rte_ether_addr read_mac_addr;\n+\tstruct rte_ether_addr *expected_mac_addr;\n \n \t/* Add 4 slaves to bonded device */\n \tfor (i = test_params->bonded_slave_count; i < 4; i++)\n@@ -751,7 +751,7 @@ test_set_primary_slave(void)\n \t\t\t\t\"Failed to start bonded port %d\",\n \t\t\t\ttest_params->bonded_port_id);\n \n-\t\texpected_mac_addr = (struct ether_addr *)&slave_mac;\n+\t\texpected_mac_addr = (struct rte_ether_addr *)&slave_mac;\n \t\texpected_mac_addr->addr_bytes[ETHER_ADDR_LEN-1] = i;\n \n \t\t/* Check primary slave MAC */\n@@ -802,12 +802,12 @@ static int\n test_set_explicit_bonded_mac(void)\n {\n \tint i;\n-\tstruct ether_addr read_mac_addr;\n-\tstruct ether_addr *mac_addr;\n+\tstruct rte_ether_addr read_mac_addr;\n+\tstruct rte_ether_addr *mac_addr;\n \n \tuint8_t explicit_bonded_mac[] = { 0xDE, 0xAD, 0xBE, 0xEF, 0x00, 0x01 };\n \n-\tmac_addr = (struct ether_addr *)explicit_bonded_mac;\n+\tmac_addr = (struct rte_ether_addr *)explicit_bonded_mac;\n \n \t/* Invalid port ID */\n \tTEST_ASSERT_FAIL(rte_eth_bond_mac_address_set(INVALID_PORT_ID, mac_addr),\n@@ -880,11 +880,11 @@ test_set_bonded_port_initialization_mac_assignment(void)\n \tstatic int bonded_port_id = -1;\n \tstatic int slave_port_ids[BONDED_INIT_MAC_ASSIGNMENT_SLAVE_COUNT];\n \n-\tstruct ether_addr slave_mac_addr, bonded_mac_addr, read_mac_addr;\n+\tstruct rte_ether_addr slave_mac_addr, bonded_mac_addr, read_mac_addr;\n \n \t/* Initialize default values for MAC addresses */\n-\tmemcpy(&slave_mac_addr, slave_mac, sizeof(struct ether_addr));\n-\tmemcpy(&bonded_mac_addr, slave_mac, sizeof(struct ether_addr));\n+\tmemcpy(&slave_mac_addr, slave_mac, sizeof(struct rte_ether_addr));\n+\tmemcpy(&bonded_mac_addr, slave_mac, sizeof(struct rte_ether_addr));\n \n \t/*\n \t * 1. a - Create / configure  bonded / slave ethdevs\n@@ -1277,11 +1277,13 @@ generate_test_burst(struct rte_mbuf **pkts_burst, uint16_t burst_size,\n \n \tif (toggle_dst_mac)\n \t\tinitialize_eth_header(test_params->pkt_eth_hdr,\n-\t\t\t\t(struct ether_addr *)src_mac, (struct ether_addr *)dst_mac_1,\n+\t\t\t\t(struct rte_ether_addr *)src_mac,\n+\t\t\t\t(struct rte_ether_addr *)dst_mac_1,\n \t\t\t\tether_type, vlan, vlan_id);\n \telse\n \t\tinitialize_eth_header(test_params->pkt_eth_hdr,\n-\t\t\t\t(struct ether_addr *)src_mac, (struct ether_addr *)dst_mac_0,\n+\t\t\t\t(struct rte_ether_addr *)src_mac,\n+\t\t\t\t(struct rte_ether_addr *)dst_mac_0,\n \t\t\t\tether_type, vlan, vlan_id);\n \n \n@@ -1672,7 +1674,8 @@ test_roundrobin_rx_burst_on_multiple_slaves(void)\n static int\n test_roundrobin_verify_mac_assignment(void)\n {\n-\tstruct ether_addr read_mac_addr, expected_mac_addr_0, expected_mac_addr_2;\n+\tstruct rte_ether_addr read_mac_addr;\n+\tstruct rte_ether_addr expected_mac_addr_0, expected_mac_addr_2;\n \n \tint i;\n \n@@ -1731,7 +1734,8 @@ test_roundrobin_verify_mac_assignment(void)\n \n \t/* Set explicit MAC address */\n \tTEST_ASSERT_SUCCESS(rte_eth_bond_mac_address_set(\n-\t\t\ttest_params->bonded_port_id, (struct ether_addr *)bonded_mac),\n+\t\t\ttest_params->bonded_port_id,\n+\t\t\t(struct rte_ether_addr *)bonded_mac),\n \t\t\t\"Failed to set MAC\");\n \n \trte_eth_macaddr_get(test_params->bonded_port_id, &read_mac_addr);\n@@ -1940,7 +1944,8 @@ int polling_test_slaves[TEST_RR_POLLING_LINK_STATUS_SLAVE_COUNT] = { -1, -1 };\n static int\n test_roundrobin_verfiy_polling_slave_link_status_change(void)\n {\n-\tstruct ether_addr *mac_addr = (struct ether_addr *)polling_slave_mac;\n+\tstruct rte_ether_addr *mac_addr =\n+\t\t(struct rte_ether_addr *)polling_slave_mac;\n \tchar slave_name[RTE_ETH_NAME_MAX_LEN];\n \n \tint i;\n@@ -2039,7 +2044,8 @@ test_activebackup_tx_burst(void)\n \t\t\t\"Failed to initialize bonded device with slaves\");\n \n \tinitialize_eth_header(test_params->pkt_eth_hdr,\n-\t\t\t(struct ether_addr *)src_mac, (struct ether_addr *)dst_mac_0,\n+\t\t\t(struct rte_ether_addr *)src_mac,\n+\t\t\t(struct rte_ether_addr *)dst_mac_0,\n \t\t\tETHER_TYPE_IPv4,  0, 0);\n \tpktlen = initialize_udp_header(test_params->pkt_udp_hdr, src_port,\n \t\t\tdst_port_0, 16);\n@@ -2246,7 +2252,8 @@ test_activebackup_verify_promiscuous_enable_disable(void)\n static int\n test_activebackup_verify_mac_assignment(void)\n {\n-\tstruct ether_addr read_mac_addr, expected_mac_addr_0, expected_mac_addr_1;\n+\tstruct rte_ether_addr read_mac_addr;\n+\tstruct rte_ether_addr expected_mac_addr_0, expected_mac_addr_1;\n \n \trte_eth_macaddr_get(test_params->slave_port_ids[0], &expected_mac_addr_0);\n \trte_eth_macaddr_get(test_params->slave_port_ids[1], &expected_mac_addr_1);\n@@ -2328,7 +2335,8 @@ test_activebackup_verify_mac_assignment(void)\n \n \t/* Set explicit MAC address */\n \tTEST_ASSERT_SUCCESS(rte_eth_bond_mac_address_set(\n-\t\t\ttest_params->bonded_port_id, (struct ether_addr *)bonded_mac),\n+\t\t\ttest_params->bonded_port_id,\n+\t\t\t(struct rte_ether_addr *)bonded_mac),\n \t\t\t\"failed to set MAC address\");\n \n \trte_eth_macaddr_get(test_params->bonded_port_id, &read_mac_addr);\n@@ -2573,7 +2581,8 @@ test_balance_l2_tx_burst(void)\n \t\t\t\"Failed to set balance xmit policy.\");\n \n \tinitialize_eth_header(test_params->pkt_eth_hdr,\n-\t\t\t(struct ether_addr *)src_mac, (struct ether_addr *)dst_mac_0,\n+\t\t\t(struct rte_ether_addr *)src_mac,\n+\t\t\t(struct rte_ether_addr *)dst_mac_0,\n \t\t\tETHER_TYPE_IPv4, 0, 0);\n \tpktlen = initialize_udp_header(test_params->pkt_udp_hdr, src_port,\n \t\t\tdst_port_0, 16);\n@@ -2588,7 +2597,8 @@ test_balance_l2_tx_burst(void)\n \t\t\t\"failed to generate packet burst\");\n \n \tinitialize_eth_header(test_params->pkt_eth_hdr,\n-\t\t\t(struct ether_addr *)src_mac, (struct ether_addr *)dst_mac_1,\n+\t\t\t(struct rte_ether_addr *)src_mac,\n+\t\t\t(struct rte_ether_addr *)dst_mac_1,\n \t\t\tETHER_TYPE_IPv4, 0, 0);\n \n \t/* Generate a burst 2 of packets to transmit */\n@@ -3138,7 +3148,8 @@ test_balance_verify_promiscuous_enable_disable(void)\n static int\n test_balance_verify_mac_assignment(void)\n {\n-\tstruct ether_addr read_mac_addr, expected_mac_addr_0, expected_mac_addr_1;\n+\tstruct rte_ether_addr read_mac_addr;\n+\tstruct rte_ether_addr expected_mac_addr_0, expected_mac_addr_1;\n \n \trte_eth_macaddr_get(test_params->slave_port_ids[0], &expected_mac_addr_0);\n \trte_eth_macaddr_get(test_params->slave_port_ids[1], &expected_mac_addr_1);\n@@ -3220,7 +3231,8 @@ test_balance_verify_mac_assignment(void)\n \n \t/* Set explicit MAC address */\n \tTEST_ASSERT_SUCCESS(rte_eth_bond_mac_address_set(\n-\t\t\ttest_params->bonded_port_id, (struct ether_addr *)bonded_mac),\n+\t\t\ttest_params->bonded_port_id,\n+\t\t\t(struct rte_ether_addr *)bonded_mac),\n \t\t\t\"failed to set MAC\");\n \n \trte_eth_macaddr_get(test_params->bonded_port_id, &read_mac_addr);\n@@ -3411,7 +3423,8 @@ test_broadcast_tx_burst(void)\n \t\t\t\"Failed to initialise bonded device\");\n \n \tinitialize_eth_header(test_params->pkt_eth_hdr,\n-\t\t\t(struct ether_addr *)src_mac, (struct ether_addr *)dst_mac_0,\n+\t\t\t(struct rte_ether_addr *)src_mac,\n+\t\t\t(struct rte_ether_addr *)dst_mac_0,\n \t\t\tETHER_TYPE_IPv4, 0, 0);\n \n \tpktlen = initialize_udp_header(test_params->pkt_udp_hdr, src_port,\n@@ -3722,7 +3735,8 @@ test_broadcast_verify_promiscuous_enable_disable(void)\n static int\n test_broadcast_verify_mac_assignment(void)\n {\n-\tstruct ether_addr read_mac_addr, expected_mac_addr_0, expected_mac_addr_1;\n+\tstruct rte_ether_addr read_mac_addr;\n+\tstruct rte_ether_addr expected_mac_addr_0, expected_mac_addr_1;\n \n \tint i;\n \n@@ -3783,7 +3797,8 @@ test_broadcast_verify_mac_assignment(void)\n \n \t/* Set explicit MAC address */\n \tTEST_ASSERT_SUCCESS(rte_eth_bond_mac_address_set(\n-\t\t\ttest_params->bonded_port_id, (struct ether_addr *)bonded_mac),\n+\t\t\ttest_params->bonded_port_id,\n+\t\t\t(struct rte_ether_addr *)bonded_mac),\n \t\t\t\"Failed to set MAC address\");\n \n \trte_eth_macaddr_get(test_params->bonded_port_id, &read_mac_addr);\n@@ -3994,12 +4009,14 @@ test_tlb_tx_burst(void)\n \t\t/*test two types of mac src own(bonding) and others */\n \t\tif (i % 2 == 0) {\n \t\t\tinitialize_eth_header(test_params->pkt_eth_hdr,\n-\t\t\t\t\t(struct ether_addr *)src_mac,\n-\t\t\t\t\t(struct ether_addr *)dst_mac_0, ETHER_TYPE_IPv4, 0, 0);\n+\t\t\t\t\t(struct rte_ether_addr *)src_mac,\n+\t\t\t\t\t(struct rte_ether_addr *)dst_mac_0,\n+\t\t\t\t\tETHER_TYPE_IPv4, 0, 0);\n \t\t} else {\n \t\t\tinitialize_eth_header(test_params->pkt_eth_hdr,\n-\t\t\t\t\t(struct ether_addr *)test_params->default_slave_mac,\n-\t\t\t\t\t(struct ether_addr *)dst_mac_0, ETHER_TYPE_IPv4, 0, 0);\n+\t\t\t\t\t(struct rte_ether_addr *)test_params->default_slave_mac,\n+\t\t\t\t\t(struct rte_ether_addr *)dst_mac_0,\n+\t\t\t\t\tETHER_TYPE_IPv4, 0, 0);\n \t\t}\n \t\tpktlen = initialize_udp_header(test_params->pkt_udp_hdr, src_port,\n \t\t\t\tdst_port_0, 16);\n@@ -4210,7 +4227,8 @@ test_tlb_verify_promiscuous_enable_disable(void)\n static int\n test_tlb_verify_mac_assignment(void)\n {\n-\tstruct ether_addr read_mac_addr, expected_mac_addr_0, expected_mac_addr_1;\n+\tstruct rte_ether_addr read_mac_addr;\n+\tstruct rte_ether_addr expected_mac_addr_0, expected_mac_addr_1;\n \n \trte_eth_macaddr_get(test_params->slave_port_ids[0], &expected_mac_addr_0);\n \trte_eth_macaddr_get(test_params->slave_port_ids[1], &expected_mac_addr_1);\n@@ -4293,7 +4311,8 @@ test_tlb_verify_mac_assignment(void)\n \n \t/* Set explicit MAC address */\n \tTEST_ASSERT_SUCCESS(rte_eth_bond_mac_address_set(\n-\t\t\ttest_params->bonded_port_id, (struct ether_addr *)bonded_mac),\n+\t\t\ttest_params->bonded_port_id,\n+\t\t\t(struct rte_ether_addr *)bonded_mac),\n \t\t\t\"failed to set MAC address\");\n \n \trte_eth_macaddr_get(test_params->bonded_port_id, &read_mac_addr);\n@@ -4468,14 +4487,14 @@ test_alb_change_mac_in_reply_sent(void)\n \tstruct rte_mbuf *pkt;\n \tstruct rte_mbuf *pkts_sent[MAX_PKT_BURST];\n \n-\tstruct ether_hdr *eth_pkt;\n+\tstruct rte_ether_hdr *eth_pkt;\n \tstruct rte_arp_hdr *arp_pkt;\n \n \tint slave_idx, nb_pkts, pkt_idx;\n \tint retval = 0;\n \n-\tstruct ether_addr bond_mac, client_mac;\n-\tstruct ether_addr *slave_mac1, *slave_mac2;\n+\tstruct rte_ether_addr bond_mac, client_mac;\n+\tstruct rte_ether_addr *slave_mac1, *slave_mac2;\n \n \tTEST_ASSERT_SUCCESS(\n \t\t\tinitialize_bonded_device_with_slaves(BONDING_MODE_ALB,\n@@ -4501,44 +4520,44 @@ test_alb_change_mac_in_reply_sent(void)\n \t */\n \tpkt = rte_pktmbuf_alloc(test_params->mbuf_pool);\n \tmemcpy(client_mac.addr_bytes, mac_client1, ETHER_ADDR_LEN);\n-\teth_pkt = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\teth_pkt = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \tinitialize_eth_header(eth_pkt, &bond_mac, &client_mac, ETHER_TYPE_ARP, 0,\n \t\t\t0);\n \tarp_pkt = (struct rte_arp_hdr *)((char *)eth_pkt +\n-\t\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \tinitialize_arp_header(arp_pkt, &bond_mac, &client_mac, ip_host, ip_client1,\n \t\t\tRTE_ARP_OP_REPLY);\n \trte_eth_tx_burst(test_params->bonded_port_id, 0, &pkt, 1);\n \n \tpkt = rte_pktmbuf_alloc(test_params->mbuf_pool);\n \tmemcpy(client_mac.addr_bytes, mac_client2, ETHER_ADDR_LEN);\n-\teth_pkt = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\teth_pkt = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \tinitialize_eth_header(eth_pkt, &bond_mac, &client_mac, ETHER_TYPE_ARP, 0,\n \t\t\t0);\n \tarp_pkt = (struct rte_arp_hdr *)((char *)eth_pkt +\n-\t\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \tinitialize_arp_header(arp_pkt, &bond_mac, &client_mac, ip_host, ip_client2,\n \t\t\tRTE_ARP_OP_REPLY);\n \trte_eth_tx_burst(test_params->bonded_port_id, 0, &pkt, 1);\n \n \tpkt = rte_pktmbuf_alloc(test_params->mbuf_pool);\n \tmemcpy(client_mac.addr_bytes, mac_client3, ETHER_ADDR_LEN);\n-\teth_pkt = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\teth_pkt = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \tinitialize_eth_header(eth_pkt, &bond_mac, &client_mac, ETHER_TYPE_ARP, 0,\n \t\t\t0);\n \tarp_pkt = (struct rte_arp_hdr *)((char *)eth_pkt +\n-\t\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \tinitialize_arp_header(arp_pkt, &bond_mac, &client_mac, ip_host, ip_client3,\n \t\t\tRTE_ARP_OP_REPLY);\n \trte_eth_tx_burst(test_params->bonded_port_id, 0, &pkt, 1);\n \n \tpkt = rte_pktmbuf_alloc(test_params->mbuf_pool);\n \tmemcpy(client_mac.addr_bytes, mac_client4, ETHER_ADDR_LEN);\n-\teth_pkt = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\teth_pkt = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \tinitialize_eth_header(eth_pkt, &bond_mac, &client_mac, ETHER_TYPE_ARP, 0,\n \t\t\t0);\n \tarp_pkt = (struct rte_arp_hdr *)((char *)eth_pkt +\n-\t\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \tinitialize_arp_header(arp_pkt, &bond_mac, &client_mac, ip_host, ip_client4,\n \t\t\tRTE_ARP_OP_REPLY);\n \trte_eth_tx_burst(test_params->bonded_port_id, 0, &pkt, 1);\n@@ -4558,9 +4577,10 @@ test_alb_change_mac_in_reply_sent(void)\n \t\t\t\tMAX_PKT_BURST);\n \n \t\tfor (pkt_idx = 0; pkt_idx < nb_pkts; pkt_idx++) {\n-\t\t\teth_pkt = rte_pktmbuf_mtod(pkts_sent[pkt_idx], struct ether_hdr *);\n+\t\t\teth_pkt = rte_pktmbuf_mtod(\n+\t\t\t\tpkts_sent[pkt_idx], struct rte_ether_hdr *);\n \t\t\tarp_pkt = (struct rte_arp_hdr *)((char *)eth_pkt +\n-\t\t\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \n \t\t\tif (slave_idx%2 == 0) {\n \t\t\t\tif (!is_same_ether_addr(slave_mac1, &arp_pkt->arp_data.arp_sha)) {\n@@ -4584,7 +4604,7 @@ test_alb_change_mac_in_reply_sent(void)\n static int\n test_alb_reply_from_client(void)\n {\n-\tstruct ether_hdr *eth_pkt;\n+\tstruct rte_ether_hdr *eth_pkt;\n \tstruct rte_arp_hdr *arp_pkt;\n \n \tstruct rte_mbuf *pkt;\n@@ -4593,8 +4613,8 @@ test_alb_reply_from_client(void)\n \tint slave_idx, nb_pkts, pkt_idx, nb_pkts_sum = 0;\n \tint retval = 0;\n \n-\tstruct ether_addr bond_mac, client_mac;\n-\tstruct ether_addr *slave_mac1, *slave_mac2;\n+\tstruct rte_ether_addr bond_mac, client_mac;\n+\tstruct rte_ether_addr *slave_mac1, *slave_mac2;\n \n \tTEST_ASSERT_SUCCESS(\n \t\t\tinitialize_bonded_device_with_slaves(BONDING_MODE_ALB,\n@@ -4619,11 +4639,11 @@ test_alb_reply_from_client(void)\n \t */\n \tpkt = rte_pktmbuf_alloc(test_params->mbuf_pool);\n \tmemcpy(client_mac.addr_bytes, mac_client1, ETHER_ADDR_LEN);\n-\teth_pkt = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\teth_pkt = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \tinitialize_eth_header(eth_pkt, &bond_mac, &client_mac, ETHER_TYPE_ARP, 0,\n \t\t\t0);\n \tarp_pkt = (struct rte_arp_hdr *)((char *)eth_pkt +\n-\t\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \tinitialize_arp_header(arp_pkt, &client_mac, &bond_mac, ip_client1, ip_host,\n \t\t\tRTE_ARP_OP_REPLY);\n \tvirtual_ethdev_add_mbufs_to_rx_queue(test_params->slave_port_ids[0], &pkt,\n@@ -4631,11 +4651,11 @@ test_alb_reply_from_client(void)\n \n \tpkt = rte_pktmbuf_alloc(test_params->mbuf_pool);\n \tmemcpy(client_mac.addr_bytes, mac_client2, ETHER_ADDR_LEN);\n-\teth_pkt = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\teth_pkt = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \tinitialize_eth_header(eth_pkt, &bond_mac, &client_mac, ETHER_TYPE_ARP, 0,\n \t\t\t0);\n \tarp_pkt = (struct rte_arp_hdr *)((char *)eth_pkt +\n-\t\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \tinitialize_arp_header(arp_pkt, &client_mac, &bond_mac, ip_client2, ip_host,\n \t\t\tRTE_ARP_OP_REPLY);\n \tvirtual_ethdev_add_mbufs_to_rx_queue(test_params->slave_port_ids[0], &pkt,\n@@ -4643,11 +4663,11 @@ test_alb_reply_from_client(void)\n \n \tpkt = rte_pktmbuf_alloc(test_params->mbuf_pool);\n \tmemcpy(client_mac.addr_bytes, mac_client3, ETHER_ADDR_LEN);\n-\teth_pkt = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\teth_pkt = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \tinitialize_eth_header(eth_pkt, &bond_mac, &client_mac, ETHER_TYPE_ARP, 0,\n \t\t\t0);\n \tarp_pkt = (struct rte_arp_hdr *)((char *)eth_pkt +\n-\t\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \tinitialize_arp_header(arp_pkt, &client_mac, &bond_mac, ip_client3, ip_host,\n \t\t\tRTE_ARP_OP_REPLY);\n \tvirtual_ethdev_add_mbufs_to_rx_queue(test_params->slave_port_ids[0], &pkt,\n@@ -4655,11 +4675,11 @@ test_alb_reply_from_client(void)\n \n \tpkt = rte_pktmbuf_alloc(test_params->mbuf_pool);\n \tmemcpy(client_mac.addr_bytes, mac_client4, ETHER_ADDR_LEN);\n-\teth_pkt = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\teth_pkt = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \tinitialize_eth_header(eth_pkt, &bond_mac, &client_mac, ETHER_TYPE_ARP, 0,\n \t\t\t0);\n \tarp_pkt = (struct rte_arp_hdr *)((char *)eth_pkt +\n-\t\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \tinitialize_arp_header(arp_pkt, &client_mac, &bond_mac, ip_client4, ip_host,\n \t\t\tRTE_ARP_OP_REPLY);\n \tvirtual_ethdev_add_mbufs_to_rx_queue(test_params->slave_port_ids[0], &pkt,\n@@ -4684,9 +4704,10 @@ test_alb_reply_from_client(void)\n \t\tnb_pkts_sum += nb_pkts;\n \n \t\tfor (pkt_idx = 0; pkt_idx < nb_pkts; pkt_idx++) {\n-\t\t\teth_pkt = rte_pktmbuf_mtod(pkts_sent[pkt_idx], struct ether_hdr *);\n+\t\t\teth_pkt = rte_pktmbuf_mtod(\n+\t\t\t\tpkts_sent[pkt_idx], struct rte_ether_hdr *);\n \t\t\tarp_pkt = (struct rte_arp_hdr *)((char *)eth_pkt +\n-\t\t\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \n \t\t\tif (slave_idx%2 == 0) {\n \t\t\t\tif (!is_same_ether_addr(slave_mac1, &arp_pkt->arp_data.arp_sha)) {\n@@ -4716,8 +4737,8 @@ test_alb_reply_from_client(void)\n static int\n test_alb_receive_vlan_reply(void)\n {\n-\tstruct ether_hdr *eth_pkt;\n-\tstruct vlan_hdr *vlan_pkt;\n+\tstruct rte_ether_hdr *eth_pkt;\n+\tstruct rte_vlan_hdr *vlan_pkt;\n \tstruct rte_arp_hdr *arp_pkt;\n \n \tstruct rte_mbuf *pkt;\n@@ -4726,7 +4747,7 @@ test_alb_receive_vlan_reply(void)\n \tint slave_idx, nb_pkts, pkt_idx;\n \tint retval = 0;\n \n-\tstruct ether_addr bond_mac, client_mac;\n+\tstruct rte_ether_addr bond_mac, client_mac;\n \n \tTEST_ASSERT_SUCCESS(\n \t\t\tinitialize_bonded_device_with_slaves(BONDING_MODE_ALB,\n@@ -4750,10 +4771,10 @@ test_alb_receive_vlan_reply(void)\n \t */\n \tpkt = rte_pktmbuf_alloc(test_params->mbuf_pool);\n \tmemcpy(client_mac.addr_bytes, mac_client1, ETHER_ADDR_LEN);\n-\teth_pkt = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\teth_pkt = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \tinitialize_eth_header(eth_pkt, &bond_mac, &client_mac, ETHER_TYPE_VLAN, 0,\n \t\t\t0);\n-\tvlan_pkt = (struct vlan_hdr *)((char *)(eth_pkt + 1));\n+\tvlan_pkt = (struct rte_vlan_hdr *)((char *)(eth_pkt + 1));\n \tvlan_pkt->vlan_tci = rte_cpu_to_be_16(1);\n \tvlan_pkt->eth_proto = rte_cpu_to_be_16(ETHER_TYPE_VLAN);\n \tvlan_pkt = vlan_pkt+1;\n@@ -4777,8 +4798,10 @@ test_alb_receive_vlan_reply(void)\n \t\t\t\tMAX_PKT_BURST);\n \n \t\tfor (pkt_idx = 0; pkt_idx < nb_pkts; pkt_idx++) {\n-\t\t\teth_pkt = rte_pktmbuf_mtod(pkts_sent[pkt_idx], struct ether_hdr *);\n-\t\t\tvlan_pkt = (struct vlan_hdr *)((char *)(eth_pkt + 1));\n+\t\t\teth_pkt = rte_pktmbuf_mtod(\n+\t\t\t\tpkts_sent[pkt_idx], struct rte_ether_hdr *);\n+\t\t\tvlan_pkt = (struct rte_vlan_hdr *)(\n+\t\t\t\t(char *)(eth_pkt + 1));\n \t\t\tif (vlan_pkt->vlan_tci != rte_cpu_to_be_16(1)) {\n \t\t\t\tretval = -1;\n \t\t\t\tgoto test_end;\ndiff --git a/app/test/test_link_bonding_mode4.c b/app/test/test_link_bonding_mode4.c\nindex e539f078d..de23dd959 100644\n--- a/app/test/test_link_bonding_mode4.c\n+++ b/app/test/test_link_bonding_mode4.c\n@@ -54,19 +54,19 @@\n #define INVALID_PORT_ID         (0xFF)\n #define INVALID_BONDING_MODE    (-1)\n \n-static const struct ether_addr slave_mac_default = {\n+static const struct rte_ether_addr slave_mac_default = {\n \t{ 0x00, 0xFF, 0x00, 0xFF, 0x00, 0x00 }\n };\n \n-static const struct ether_addr parnter_mac_default = {\n+static const struct rte_ether_addr parnter_mac_default = {\n \t{ 0x22, 0xBB, 0xFF, 0xBB, 0x00, 0x00 }\n };\n \n-static const struct ether_addr parnter_system = {\n+static const struct rte_ether_addr parnter_system = {\n \t{ 0x33, 0xFF, 0xBB, 0xFF, 0x00, 0x00 }\n };\n \n-static const struct ether_addr slow_protocol_mac_addr = {\n+static const struct rte_ether_addr slow_protocol_mac_addr = {\n \t{ 0x01, 0x80, 0xC2, 0x00, 0x00, 0x02 }\n };\n \n@@ -80,8 +80,8 @@ struct slave_conf {\n };\n \n struct ether_vlan_hdr {\n-\tstruct ether_hdr pkt_eth_hdr;\n-\tstruct vlan_hdr vlan_hdr;\n+\tstruct rte_ether_hdr pkt_eth_hdr;\n+\tstruct rte_vlan_hdr vlan_hdr;\n };\n \n struct link_bonding_unittest_params {\n@@ -224,7 +224,7 @@ configure_ethdev(uint16_t port_id, uint8_t start)\n static int\n add_slave(struct slave_conf *slave, uint8_t start)\n {\n-\tstruct ether_addr addr, addr_check;\n+\tstruct rte_ether_addr addr, addr_check;\n \n \t/* Some sanity check */\n \tRTE_VERIFY(test_params.slave_ports <= slave &&\n@@ -293,12 +293,12 @@ remove_slave(struct slave_conf *slave)\n static void\n lacp_recv_cb(uint16_t slave_id, struct rte_mbuf *lacp_pkt)\n {\n-\tstruct ether_hdr *hdr;\n+\tstruct rte_ether_hdr *hdr;\n \tstruct slow_protocol_frame *slow_hdr;\n \n \tRTE_VERIFY(lacp_pkt != NULL);\n \n-\thdr = rte_pktmbuf_mtod(lacp_pkt, struct ether_hdr *);\n+\thdr = rte_pktmbuf_mtod(lacp_pkt, struct rte_ether_hdr *);\n \tRTE_VERIFY(hdr->ether_type == rte_cpu_to_be_16(ETHER_TYPE_SLOW));\n \n \tslow_hdr = rte_pktmbuf_mtod(lacp_pkt, struct slow_protocol_frame *);\n@@ -474,12 +474,12 @@ testsuite_teardown(void)\n static int\n make_lacp_reply(struct slave_conf *slave, struct rte_mbuf *pkt)\n {\n-\tstruct ether_hdr *hdr;\n+\tstruct rte_ether_hdr *hdr;\n \tstruct slow_protocol_frame *slow_hdr;\n \tstruct lacpdu *lacp;\n \n \t/* look for LACP */\n-\thdr = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\thdr = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \tif (hdr->ether_type != rte_cpu_to_be_16(ETHER_TYPE_SLOW))\n \t\treturn 1;\n \n@@ -718,8 +718,8 @@ test_mode4_agg_mode_selection(void)\n }\n \n static int\n-generate_packets(struct ether_addr *src_mac,\n-\tstruct ether_addr *dst_mac, uint16_t count, struct rte_mbuf **buf)\n+generate_packets(struct rte_ether_addr *src_mac,\n+\tstruct rte_ether_addr *dst_mac, uint16_t count, struct rte_mbuf **buf)\n {\n \tuint16_t pktlen = PACKET_BURST_GEN_PKT_LEN;\n \tuint8_t vlan_enable = 0;\n@@ -731,7 +731,7 @@ generate_packets(struct ether_addr *src_mac,\n \tuint32_t ip_src[4] = { [0 ... 2] = 0xDEADBEEF, [3] = IPv4(192, 168, 0, 1) };\n \tuint32_t ip_dst[4] = { [0 ... 2] = 0xFEEDFACE, [3] = IPv4(192, 168, 0, 2) };\n \n-\tstruct ether_hdr pkt_eth_hdr;\n+\tstruct rte_ether_hdr pkt_eth_hdr;\n \tstruct udp_hdr pkt_udp_hdr;\n \tunion {\n \t\tstruct ipv4_hdr v4;\n@@ -765,8 +765,9 @@ generate_packets(struct ether_addr *src_mac,\n }\n \n static int\n-generate_and_put_packets(struct slave_conf *slave, struct ether_addr *src_mac,\n-\t\tstruct ether_addr *dst_mac, uint16_t count)\n+generate_and_put_packets(struct slave_conf *slave,\n+\t\t\tstruct rte_ether_addr *src_mac,\n+\t\t\tstruct rte_ether_addr *dst_mac, uint16_t count)\n {\n \tstruct rte_mbuf *pkts[MAX_PKT_BURST];\n \tint retval;\n@@ -796,11 +797,12 @@ test_mode4_rx(void)\n \tint retval;\n \tunsigned delay;\n \n-\tstruct ether_hdr *hdr;\n+\tstruct rte_ether_hdr *hdr;\n \n-\tstruct ether_addr src_mac = { { 0x00, 0xFF, 0x00, 0xFF, 0x00, 0x00 } };\n-\tstruct ether_addr dst_mac;\n-\tstruct ether_addr bonded_mac;\n+\tstruct rte_ether_addr src_mac = {\n+\t\t{ 0x00, 0xFF, 0x00, 0xFF, 0x00, 0x00 } };\n+\tstruct rte_ether_addr dst_mac;\n+\tstruct rte_ether_addr bonded_mac;\n \n \tretval = initialize_bonded_device_with_slaves(TEST_PROMISC_SLAVE_COUNT,\n \t\t\t\t\t\t      0);\n@@ -844,7 +846,7 @@ test_mode4_rx(void)\n \t\tint cnt[2] = { 0, 0 };\n \n \t\tfor (i = 0; i < expected_pkts_cnt; i++) {\n-\t\t\thdr = rte_pktmbuf_mtod(pkts[i], struct ether_hdr *);\n+\t\t\thdr = rte_pktmbuf_mtod(pkts[i], struct rte_ether_hdr *);\n \t\t\tcnt[is_same_ether_addr(&hdr->d_addr, &bonded_mac)]++;\n \t\t}\n \n@@ -888,7 +890,7 @@ test_mode4_rx(void)\n \t\tint eq_cnt = 0;\n \n \t\tfor (i = 0; i < expected_pkts_cnt; i++) {\n-\t\t\thdr = rte_pktmbuf_mtod(pkts[i], struct ether_hdr *);\n+\t\t\thdr = rte_pktmbuf_mtod(pkts[i], struct rte_ether_hdr *);\n \t\t\teq_cnt += is_same_ether_addr(&hdr->d_addr, &bonded_mac);\n \t\t}\n \n@@ -977,8 +979,9 @@ test_mode4_tx_burst(void)\n \tint retval;\n \tunsigned delay;\n \n-\tstruct ether_addr dst_mac = { { 0x00, 0xFF, 0x00, 0xFF, 0x00, 0x00 } };\n-\tstruct ether_addr bonded_mac;\n+\tstruct rte_ether_addr dst_mac = {\n+\t\t{ 0x00, 0xFF, 0x00, 0xFF, 0x00, 0x00 } };\n+\tstruct rte_ether_addr bonded_mac;\n \n \tretval = initialize_bonded_device_with_slaves(TEST_TX_SLAVE_COUNT, 0);\n \tTEST_ASSERT_SUCCESS(retval, \"Failed to initialize bonded device\");\n@@ -1351,7 +1354,7 @@ test_mode4_ext_ctrl(void)\n \tuint8_t i;\n \n \tstruct rte_mbuf *lacp_tx_buf[SLAVE_COUNT];\n-\tstruct ether_addr src_mac, dst_mac;\n+\tstruct rte_ether_addr src_mac, dst_mac;\n \tstruct lacpdu_header lacpdu = {\n \t\t.lacpdu = {\n \t\t\t.subtype = SLOW_SUBTYPE_LACP,\n@@ -1405,7 +1408,7 @@ test_mode4_ext_lacp(void)\n \n \tstruct rte_mbuf *lacp_tx_buf[SLAVE_COUNT];\n \tstruct rte_mbuf *buf[SLAVE_COUNT];\n-\tstruct ether_addr src_mac, dst_mac;\n+\tstruct rte_ether_addr src_mac, dst_mac;\n \tstruct lacpdu_header lacpdu = {\n \t\t.lacpdu = {\n \t\t\t.subtype = SLOW_SUBTYPE_LACP,\ndiff --git a/app/test/test_link_bonding_rssconf.c b/app/test/test_link_bonding_rssconf.c\nindex d82de2cef..4392522ea 100644\n--- a/app/test/test_link_bonding_rssconf.c\n+++ b/app/test/test_link_bonding_rssconf.c\n@@ -495,7 +495,7 @@ test_setup(void)\n \tint port_id;\n \tchar name[256];\n \tstruct slave_conf *port;\n-\tstruct ether_addr mac_addr = { .addr_bytes = {0} };\n+\tstruct rte_ether_addr mac_addr = { .addr_bytes = {0} };\n \n \tif (test_params.mbuf_pool == NULL) {\n \ndiff --git a/app/test/test_pmd_perf.c b/app/test/test_pmd_perf.c\nindex ed8524a17..b85da914c 100644\n--- a/app/test/test_pmd_perf.c\n+++ b/app/test/test_pmd_perf.c\n@@ -58,7 +58,7 @@\n \n static struct rte_mempool *mbufpool[NB_SOCKETS];\n /* ethernet addresses of ports */\n-static struct ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n+static struct rte_ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n \n static struct rte_eth_conf port_conf = {\n \t.rxmode = {\n@@ -171,7 +171,7 @@ check_all_ports_link_status(uint16_t port_num, uint32_t port_mask)\n }\n \n static void\n-print_ethaddr(const char *name, const struct ether_addr *eth_addr)\n+print_ethaddr(const char *name, const struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n \tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n@@ -182,7 +182,7 @@ static int\n init_traffic(struct rte_mempool *mp,\n \t     struct rte_mbuf **pkts_burst, uint32_t burst_size)\n {\n-\tstruct ether_hdr pkt_eth_hdr;\n+\tstruct rte_ether_hdr pkt_eth_hdr;\n \tstruct ipv4_hdr pkt_ipv4_hdr;\n \tstruct udp_hdr pkt_udp_hdr;\n \tuint32_t pktlen;\n@@ -191,8 +191,8 @@ init_traffic(struct rte_mempool *mp,\n \n \n \tinitialize_eth_header(&pkt_eth_hdr,\n-\t\t(struct ether_addr *)src_mac,\n-\t\t(struct ether_addr *)dst_mac, ETHER_TYPE_IPv4, 0, 0);\n+\t\t(struct rte_ether_addr *)src_mac,\n+\t\t(struct rte_ether_addr *)dst_mac, ETHER_TYPE_IPv4, 0, 0);\n \n \tpktlen = initialize_ipv4_header(&pkt_ipv4_hdr,\n \t\t\t\t\tIPV4_ADDR(10, 0, 0, 1),\ndiff --git a/app/test/test_sched.c b/app/test/test_sched.c\nindex 4eed8dbde..a782bf3e5 100644\n--- a/app/test/test_sched.c\n+++ b/app/test/test_sched.c\n@@ -78,16 +78,20 @@ create_mempool(void)\n static void\n prepare_pkt(struct rte_sched_port *port, struct rte_mbuf *mbuf)\n {\n-\tstruct ether_hdr *eth_hdr;\n-\tstruct vlan_hdr *vlan1, *vlan2;\n+\tstruct rte_ether_hdr *eth_hdr;\n+\tstruct rte_vlan_hdr *vlan1, *vlan2;\n \tstruct ipv4_hdr *ip_hdr;\n \n \t/* Simulate a classifier */\n-\teth_hdr = rte_pktmbuf_mtod(mbuf, struct ether_hdr *);\n-\tvlan1 = (struct vlan_hdr *)(&eth_hdr->ether_type );\n-\tvlan2 = (struct vlan_hdr *)((uintptr_t)&eth_hdr->ether_type + sizeof(struct vlan_hdr));\n-\teth_hdr = (struct ether_hdr *)((uintptr_t)&eth_hdr->ether_type + 2 *sizeof(struct vlan_hdr));\n-\tip_hdr = (struct ipv4_hdr *)((uintptr_t)eth_hdr +  sizeof(eth_hdr->ether_type));\n+\teth_hdr = rte_pktmbuf_mtod(mbuf, struct rte_ether_hdr *);\n+\tvlan1 = (struct rte_vlan_hdr *)(&eth_hdr->ether_type);\n+\tvlan2 = (struct rte_vlan_hdr *)(\n+\t\t(uintptr_t)&eth_hdr->ether_type + sizeof(struct rte_vlan_hdr));\n+\teth_hdr = (struct rte_ether_hdr *)(\n+\t\t(uintptr_t)&eth_hdr->ether_type +\n+\t\t2 * sizeof(struct rte_vlan_hdr));\n+\tip_hdr = (struct ipv4_hdr *)(\n+\t\t(uintptr_t)eth_hdr + sizeof(eth_hdr->ether_type));\n \n \tvlan1->vlan_tci = rte_cpu_to_be_16(SUBPORT);\n \tvlan2->vlan_tci = rte_cpu_to_be_16(PIPE);\ndiff --git a/app/test/virtual_pmd.c b/app/test/virtual_pmd.c\nindex f8ddc2db8..15ce64445 100644\n--- a/app/test/virtual_pmd.c\n+++ b/app/test/virtual_pmd.c\n@@ -218,7 +218,7 @@ virtual_ethdev_promiscuous_mode_disable(struct rte_eth_dev *dev __rte_unused)\n \n static int\n virtual_ethdev_mac_address_set(__rte_unused struct rte_eth_dev *dev,\n-\t\t\t       __rte_unused struct ether_addr *addr)\n+\t\t\t       __rte_unused struct rte_ether_addr *addr)\n {\n \treturn 0;\n }\n@@ -496,7 +496,7 @@ virtual_ethdev_get_mbufs_from_tx_queue(uint16_t port_id,\n \n \n int\n-virtual_ethdev_create(const char *name, struct ether_addr *mac_addr,\n+virtual_ethdev_create(const char *name, struct rte_ether_addr *mac_addr,\n \t\tuint8_t socket_id, uint8_t isr_support)\n {\n \tstruct rte_pci_device *pci_dev = NULL;\ndiff --git a/app/test/virtual_pmd.h b/app/test/virtual_pmd.h\nindex 5ca02bb50..120b58b27 100644\n--- a/app/test/virtual_pmd.h\n+++ b/app/test/virtual_pmd.h\n@@ -15,7 +15,7 @@ int\n virtual_ethdev_init(void);\n \n int\n-virtual_ethdev_create(const char *name, struct ether_addr *mac_addr,\n+virtual_ethdev_create(const char *name, struct rte_ether_addr *mac_addr,\n \t\tuint8_t socket_id, uint8_t isr_support);\n \n void\ndiff --git a/doc/guides/prog_guide/bbdev.rst b/doc/guides/prog_guide/bbdev.rst\nindex 658ffd400..038bc6ed1 100644\n--- a/doc/guides/prog_guide/bbdev.rst\n+++ b/doc/guides/prog_guide/bbdev.rst\n@@ -752,12 +752,12 @@ buffers using (**sw_turbo**) bbdev PMD.\n         for (j = 0; j < op_num; j++) {\n             /* Append the size of the ethernet header */\n             rte_pktmbuf_append(input_pkts_burst[j],\n-                    sizeof(struct ether_hdr));\n+                    sizeof(struct rte_ether_hdr));\n \n             /* set op */\n \n             ops_burst[j]->turbo_enc.input.offset =\n-                sizeof(struct ether_hdr);\n+                sizeof(struct rte_ether_hdr);\n \n             ops_burst[j]->turbo_enc->input.length =\n                 rte_pktmbuf_pkt_len(bbdev_pkts[j]);\n@@ -766,7 +766,7 @@ buffers using (**sw_turbo**) bbdev PMD.\n                 input_pkts_burst[j];\n \n             ops_burst[j]->turbo_enc->output.offset =\n-                sizeof(struct ether_hdr);\n+                sizeof(struct rte_ether_hdr);\n \n             ops_burst[j]->turbo_enc->output.data =\n                     output_pkts_burst[j];\ndiff --git a/doc/guides/sample_app_ug/flow_classify.rst b/doc/guides/sample_app_ug/flow_classify.rst\nindex a6383b3c7..9582b9376 100644\n--- a/doc/guides/sample_app_ug/flow_classify.rst\n+++ b/doc/guides/sample_app_ug/flow_classify.rst\n@@ -91,7 +91,7 @@ initialisation of the ``Flow Classify`` application..\n             .size = sizeof(uint8_t),\n             .field_index = PROTO_FIELD_IPV4,\n             .input_index = PROTO_INPUT_IPV4,\n-            .offset = sizeof(struct ether_hdr) +\n+            .offset = sizeof(struct rte_ether_hdr) +\n                 offsetof(struct ipv4_hdr, next_proto_id),\n         },\n         /* next input field (IPv4 source address) - 4 consecutive bytes. */\n@@ -101,7 +101,7 @@ initialisation of the ``Flow Classify`` application..\n             .size = sizeof(uint32_t),\n             .field_index = SRC_FIELD_IPV4,\n             .input_index = SRC_INPUT_IPV4,\n-            .offset = sizeof(struct ether_hdr) +\n+            .offset = sizeof(struct rte_ether_hdr) +\n                 offsetof(struct ipv4_hdr, src_addr),\n         },\n         /* next input field (IPv4 destination address) - 4 consecutive bytes. */\n@@ -111,7 +111,7 @@ initialisation of the ``Flow Classify`` application..\n             .size = sizeof(uint32_t),\n             .field_index = DST_FIELD_IPV4,\n             .input_index = DST_INPUT_IPV4,\n-            .offset = sizeof(struct ether_hdr) +\n+            .offset = sizeof(struct rte_ether_hdr) +\n                 offsetof(struct ipv4_hdr, dst_addr),\n         },\n         /*\n@@ -124,7 +124,7 @@ initialisation of the ``Flow Classify`` application..\n             .size = sizeof(uint16_t),\n             .field_index = SRCP_FIELD_IPV4,\n             .input_index = SRCP_DESTP_INPUT_IPV4,\n-            .offset = sizeof(struct ether_hdr) +\n+            .offset = sizeof(struct rte_ether_hdr) +\n                 sizeof(struct ipv4_hdr) +\n                 offsetof(struct tcp_hdr, src_port),\n         },\n@@ -134,7 +134,7 @@ initialisation of the ``Flow Classify`` application..\n              .size = sizeof(uint16_t),\n              .field_index = DSTP_FIELD_IPV4,\n              .input_index = SRCP_DESTP_INPUT_IPV4,\n-             .offset = sizeof(struct ether_hdr) +\n+             .offset = sizeof(struct rte_ether_hdr) +\n                  sizeof(struct ipv4_hdr) +\n                  offsetof(struct tcp_hdr, dst_port),\n         },\n@@ -275,7 +275,7 @@ Forwarding application is shown below:\n     {\n         struct rte_eth_conf port_conf = port_conf_default;\n         const uint16_t rx_rings = 1, tx_rings = 1;\n-        struct ether_addr addr;\n+        struct rte_ether_addr addr;\n         int retval;\n         uint16_t q;\n \ndiff --git a/doc/guides/sample_app_ug/flow_filtering.rst b/doc/guides/sample_app_ug/flow_filtering.rst\nindex be3d63f90..02fc67550 100644\n--- a/doc/guides/sample_app_ug/flow_filtering.rst\n+++ b/doc/guides/sample_app_ug/flow_filtering.rst\n@@ -304,7 +304,7 @@ looks like the following:\n    main_loop(void)\n    {\n            struct rte_mbuf *mbufs[32];\n-           struct ether_hdr *eth_hdr;\n+           struct rte_ether_hdr *eth_hdr;\n            uint16_t nb_rx;\n            uint16_t i;\n            uint16_t j;\n@@ -318,7 +318,7 @@ looks like the following:\n                                            struct rte_mbuf *m = mbufs[j];\n \n                                            eth_hdr = rte_pktmbuf_mtod(m,\n-                                                        struct ether_hdr *);\n+                                                        struct rte_ether_hdr *);\n                                            print_ether_addr(\"src=\",\n                                                         &eth_hdr->s_addr);\n                                            print_ether_addr(\" - dst=\",\n@@ -348,7 +348,7 @@ queues and printing for each packet the destination queue:\n                 if (nb_rx) {\n                         for (j = 0; j < nb_rx; j++) {\n                              struct rte_mbuf *m = mbufs[j];\n-                             eth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+                             eth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n                              print_ether_addr(\"src=\", &eth_hdr->s_addr);\n                              print_ether_addr(\" - dst=\", &eth_hdr->d_addr);\n                              printf(\" - queue=0x%x\", (unsigned int)i);\ndiff --git a/doc/guides/sample_app_ug/ipv4_multicast.rst b/doc/guides/sample_app_ug/ipv4_multicast.rst\nindex f6efa7f6f..1fe6bf113 100644\n--- a/doc/guides/sample_app_ug/ipv4_multicast.rst\n+++ b/doc/guides/sample_app_ug/ipv4_multicast.rst\n@@ -146,7 +146,7 @@ Firstly, the Ethernet* header is removed from the packet and the IPv4 address is\n \n     /* Remove the Ethernet header from the input packet */\n \n-    iphdr = (struct ipv4_hdr *)rte_pktmbuf_adj(m, sizeof(struct ether_hdr));\n+    iphdr = (struct ipv4_hdr *)rte_pktmbuf_adj(m, sizeof(struct rte_ether_hdr));\n     RTE_ASSERT(iphdr != NULL);\n     dest_addr = rte_be_to_cpu_32(iphdr->dst_addr);\n \n@@ -216,14 +216,14 @@ The actual packet transmission is done in the mcast_send_pkt() function:\n \n .. code-block:: c\n \n-    static inline void mcast_send_pkt(struct rte_mbuf *pkt, struct ether_addr *dest_addr, struct lcore_queue_conf *qconf, uint16_t port)\n+    static inline void mcast_send_pkt(struct rte_mbuf *pkt, struct rte_ether_addr *dest_addr, struct lcore_queue_conf *qconf, uint16_t port)\n     {\n-        struct ether_hdr *ethdr;\n+        struct rte_ether_hdr *ethdr;\n         uint16_t len;\n \n         /* Construct Ethernet header. */\n \n-        ethdr = (struct ether_hdr *)rte_pktmbuf_prepend(pkt, (uint16_t) sizeof(*ethdr));\n+        ethdr = (struct rte_ether_hdr *)rte_pktmbuf_prepend(pkt, (uint16_t) sizeof(*ethdr));\n \n         RTE_ASSERT(ethdr != NULL);\n \ndiff --git a/doc/guides/sample_app_ug/l2_forward_job_stats.rst b/doc/guides/sample_app_ug/l2_forward_job_stats.rst\nindex dfc1ed9ca..02c1367f5 100644\n--- a/doc/guides/sample_app_ug/l2_forward_job_stats.rst\n+++ b/doc/guides/sample_app_ug/l2_forward_job_stats.rst\n@@ -451,13 +451,13 @@ Naturally, the number of ports in the portmask must be even, otherwise, the appl\n     static void\n     l2fwd_simple_forward(struct rte_mbuf *m, unsigned portid)\n     {\n-        struct ether_hdr *eth;\n+        struct rte_ether_hdr *eth;\n         void *tmp;\n         unsigned dst_port;\n \n         dst_port = l2fwd_dst_ports[portid];\n \n-        eth = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+        eth = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n         /* 02:00:00:00:00:xx */\n \ndiff --git a/doc/guides/sample_app_ug/l2_forward_real_virtual.rst b/doc/guides/sample_app_ug/l2_forward_real_virtual.rst\nindex e5b28e425..54e5b8022 100644\n--- a/doc/guides/sample_app_ug/l2_forward_real_virtual.rst\n+++ b/doc/guides/sample_app_ug/l2_forward_real_virtual.rst\n@@ -367,13 +367,13 @@ Naturally, the number of ports in the portmask must be even, otherwise, the appl\n     static void\n     l2fwd_simple_forward(struct rte_mbuf *m, unsigned portid)\n     {\n-        struct ether_hdr *eth;\n+        struct rte_ether_hdr *eth;\n         void *tmp;\n         unsigned dst_port;\n \n         dst_port = l2fwd_dst_ports[portid];\n \n-        eth = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+        eth = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n         /* 02:00:00:00:00:xx */\n \ndiff --git a/doc/guides/sample_app_ug/l3_forward.rst b/doc/guides/sample_app_ug/l3_forward.rst\nindex 670918c57..2d759170c 100644\n--- a/doc/guides/sample_app_ug/l3_forward.rst\n+++ b/doc/guides/sample_app_ug/l3_forward.rst\n@@ -273,10 +273,10 @@ The key code snippet of simple_ipv4_fwd_4pkts() is shown below:\n     {\n         // ...\n \n-        data[0] = _mm_loadu_si128(( m128i*)(rte_pktmbuf_mtod(m[0], unsigned char *) + sizeof(struct ether_hdr) + offsetof(struct ipv4_hdr, time_to_live)));\n-        data[1] = _mm_loadu_si128(( m128i*)(rte_pktmbuf_mtod(m[1], unsigned char *) + sizeof(struct ether_hdr) + offsetof(struct ipv4_hdr, time_to_live)));\n-        data[2] = _mm_loadu_si128(( m128i*)(rte_pktmbuf_mtod(m[2], unsigned char *) + sizeof(struct ether_hdr) + offsetof(struct ipv4_hdr, time_to_live)));\n-        data[3] = _mm_loadu_si128(( m128i*)(rte_pktmbuf_mtod(m[3], unsigned char *) + sizeof(struct ether_hdr) + offsetof(struct ipv4_hdr, time_to_live)));\n+        data[0] = _mm_loadu_si128(( m128i*)(rte_pktmbuf_mtod(m[0], unsigned char *) + sizeof(struct rte_ether_hdr) + offsetof(struct ipv4_hdr, time_to_live)));\n+        data[1] = _mm_loadu_si128(( m128i*)(rte_pktmbuf_mtod(m[1], unsigned char *) + sizeof(struct rte_ether_hdr) + offsetof(struct ipv4_hdr, time_to_live)));\n+        data[2] = _mm_loadu_si128(( m128i*)(rte_pktmbuf_mtod(m[2], unsigned char *) + sizeof(struct rte_ether_hdr) + offsetof(struct ipv4_hdr, time_to_live)));\n+        data[3] = _mm_loadu_si128(( m128i*)(rte_pktmbuf_mtod(m[3], unsigned char *) + sizeof(struct rte_ether_hdr) + offsetof(struct ipv4_hdr, time_to_live)));\n \n         key[0].xmm = _mm_and_si128(data[0], mask0);\n         key[1].xmm = _mm_and_si128(data[1], mask0);\ndiff --git a/doc/guides/sample_app_ug/link_status_intr.rst b/doc/guides/sample_app_ug/link_status_intr.rst\nindex 571cd2d60..3fd043e82 100644\n--- a/doc/guides/sample_app_ug/link_status_intr.rst\n+++ b/doc/guides/sample_app_ug/link_status_intr.rst\n@@ -311,11 +311,11 @@ The processing is very simple: processes the TX port from the RX port and then r\n     static void\n     lsi_simple_forward(struct rte_mbuf *m, unsigned portid)\n     {\n-        struct ether_hdr *eth;\n+        struct rte_ether_hdr *eth;\n         void *tmp;\n         unsigned dst_port = lsi_dst_ports[portid];\n \n-        eth = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+        eth = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n         /* 02:00:00:00:00:xx */\n \ndiff --git a/doc/guides/sample_app_ug/ptpclient.rst b/doc/guides/sample_app_ug/ptpclient.rst\nindex 9d7446d5f..12b4f13d5 100644\n--- a/doc/guides/sample_app_ug/ptpclient.rst\n+++ b/doc/guides/sample_app_ug/ptpclient.rst\n@@ -212,17 +212,17 @@ PTP IEEE1588 L2 functionality.\n     void\n     parse_ptp_frames(uint16_t portid, struct rte_mbuf *m) {\n         struct ptp_header *ptp_hdr;\n-        struct ether_hdr *eth_hdr;\n+        struct rte_ether_hdr *eth_hdr;\n         uint16_t eth_type;\n \n-        eth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+        eth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n         eth_type = rte_be_to_cpu_16(eth_hdr->ether_type);\n \n         if (eth_type == PTP_PROTOCOL) {\n             ptp_data.m = m;\n             ptp_data.portid = portid;\n             ptp_hdr = (struct ptp_header *)(rte_pktmbuf_mtod(m, char *)\n-                        + sizeof(struct ether_hdr));\n+                        + sizeof(struct rte_ether_hdr));\n \n             switch (ptp_hdr->msgtype) {\n             case SYNC:\ndiff --git a/doc/guides/sample_app_ug/rxtx_callbacks.rst b/doc/guides/sample_app_ug/rxtx_callbacks.rst\nindex 81463d28d..1ca959c55 100644\n--- a/doc/guides/sample_app_ug/rxtx_callbacks.rst\n+++ b/doc/guides/sample_app_ug/rxtx_callbacks.rst\n@@ -79,7 +79,7 @@ comments:\n     {\n         struct rte_eth_conf port_conf = port_conf_default;\n         const uint16_t rx_rings = 1, tx_rings = 1;\n-        struct ether_addr addr;\n+        struct rte_ether_addr addr;\n         int retval;\n         uint16_t q;\n \ndiff --git a/doc/guides/sample_app_ug/server_node_efd.rst b/doc/guides/sample_app_ug/server_node_efd.rst\nindex adf258c0d..f7dab9e98 100644\n--- a/doc/guides/sample_app_ug/server_node_efd.rst\n+++ b/doc/guides/sample_app_ug/server_node_efd.rst\n@@ -197,7 +197,7 @@ which tells the node where the packet has to be distributed.\n         for (i = 0; i < rx_count; i++) {\n             /* Handle IPv4 header.*/\n             ipv4_hdr = rte_pktmbuf_mtod_offset(pkts[i], struct ipv4_hdr *,\n-                    sizeof(struct ether_hdr));\n+                    sizeof(struct rte_ether_hdr));\n             ipv4_dst_ip[i] = ipv4_hdr->dst_addr;\n             key_ptrs[i] = (void *)&ipv4_dst_ip[i];\n         }\n@@ -357,7 +357,7 @@ flow is not handled by the node.\n         for (i = 0; i < num_packets; i++) {\n             /* Handle IPv4 header.*/\n             ipv4_hdr = rte_pktmbuf_mtod_offset(bufs[i], struct ipv4_hdr *,\n-                    sizeof(struct ether_hdr));\n+                    sizeof(struct rte_ether_hdr));\n             ipv4_dst_ip[i] = ipv4_hdr->dst_addr;\n             key_ptrs[i] = &ipv4_dst_ip[i];\n         }\ndiff --git a/doc/guides/sample_app_ug/skeleton.rst b/doc/guides/sample_app_ug/skeleton.rst\nindex 11ee521b3..715f5e91a 100644\n--- a/doc/guides/sample_app_ug/skeleton.rst\n+++ b/doc/guides/sample_app_ug/skeleton.rst\n@@ -115,7 +115,7 @@ Forwarding application is shown below:\n     {\n         struct rte_eth_conf port_conf = port_conf_default;\n         const uint16_t rx_rings = 1, tx_rings = 1;\n-        struct ether_addr addr;\n+        struct rte_ether_addr addr;\n         int retval;\n         uint16_t q;\n \ndiff --git a/doc/guides/sample_app_ug/vmdq_dcb_forwarding.rst b/doc/guides/sample_app_ug/vmdq_dcb_forwarding.rst\nindex 707afe91a..8e1774d9e 100644\n--- a/doc/guides/sample_app_ug/vmdq_dcb_forwarding.rst\n+++ b/doc/guides/sample_app_ug/vmdq_dcb_forwarding.rst\n@@ -165,7 +165,7 @@ the MAC of VMDQ pool 2 on port 1 is 52:54:00:12:01:02.\n     };\n \n     /* pool mac addr template, pool mac addr is like: 52 54 00 12 port# pool# */\n-    static struct ether_addr pool_addr_template = {\n+    static struct rte_ether_addr pool_addr_template = {\n         .addr_bytes = {0x52, 0x54, 0x00, 0x12, 0x00, 0x00}\n     };\n \n@@ -225,7 +225,7 @@ the MAC of VMDQ pool 2 on port 1 is 52:54:00:12:01:02.\n \n     /* Set mac for each pool.*/\n     for (q = 0; q < num_pools; q++) {\n-        struct ether_addr mac;\n+        struct rte_ether_addr mac;\n         mac = pool_addr_template;\n         mac.addr_bytes[4] = port;\n         mac.addr_bytes[5] = q;\ndiff --git a/drivers/bus/dpaa/include/fman.h b/drivers/bus/dpaa/include/fman.h\nindex 15bf73a40..d6eebc877 100644\n--- a/drivers/bus/dpaa/include/fman.h\n+++ b/drivers/bus/dpaa/include/fman.h\n@@ -314,7 +314,7 @@ struct fman_if {\n \t/* The index of this MAC (within the Fman it belongs to) */\n \tuint8_t mac_idx;\n \t/* The MAC address */\n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_addr mac_addr;\n \t/* The Qman channel to schedule Tx FQs to */\n \tu16 tx_channel_id;\n \t/* The hard-coded FQIDs for this interface. Note: this doesn't cover\ndiff --git a/drivers/bus/dpaa/include/netcfg.h b/drivers/bus/dpaa/include/netcfg.h\nindex 7818de68b..bf7bfae8c 100644\n--- a/drivers/bus/dpaa/include/netcfg.h\n+++ b/drivers/bus/dpaa/include/netcfg.h\n@@ -30,8 +30,8 @@ struct netcfg_info {\n \n struct interface_info {\n \tchar *name;\n-\tstruct ether_addr mac_addr;\n-\tstruct ether_addr peer_mac;\n+\tstruct rte_ether_addr mac_addr;\n+\tstruct rte_ether_addr peer_mac;\n \tint mac_present;\n \tint fman_enabled_mac_interface;\n };\ndiff --git a/drivers/net/af_packet/rte_eth_af_packet.c b/drivers/net/af_packet/rte_eth_af_packet.c\nindex 99e13fe48..b5c4befce 100644\n--- a/drivers/net/af_packet/rte_eth_af_packet.c\n+++ b/drivers/net/af_packet/rte_eth_af_packet.c\n@@ -73,7 +73,7 @@ struct pmd_internals {\n \n \tint if_index;\n \tchar *if_name;\n-\tstruct ether_addr eth_addr;\n+\tstruct rte_ether_addr eth_addr;\n \n \tstruct tpacket_req req;\n \ndiff --git a/drivers/net/af_xdp/rte_eth_af_xdp.c b/drivers/net/af_xdp/rte_eth_af_xdp.c\nindex 35c72272c..893c9ed9f 100644\n--- a/drivers/net/af_xdp/rte_eth_af_xdp.c\n+++ b/drivers/net/af_xdp/rte_eth_af_xdp.c\n@@ -109,7 +109,7 @@ struct pmd_internals {\n \tint if_index;\n \tchar if_name[IFNAMSIZ];\n \tuint16_t queue_idx;\n-\tstruct ether_addr eth_addr;\n+\tstruct rte_ether_addr eth_addr;\n \tstruct xsk_umem_info *umem;\n \tstruct rte_mempool *mb_pool_share;\n \n@@ -798,7 +798,7 @@ parse_parameters(struct rte_kvargs *kvlist,\n \n static int\n get_iface_info(const char *if_name,\n-\t       struct ether_addr *eth_addr,\n+\t       struct rte_ether_addr *eth_addr,\n \t       int *if_index)\n {\n \tstruct ifreq ifr;\ndiff --git a/drivers/net/ark/ark_ethdev.c b/drivers/net/ark/ark_ethdev.c\nindex 4f52e2bd1..6a1530aa2 100644\n--- a/drivers/net/ark/ark_ethdev.c\n+++ b/drivers/net/ark/ark_ethdev.c\n@@ -41,9 +41,9 @@ static int eth_ark_dev_stats_get(struct rte_eth_dev *dev,\n \t\t\t\t  struct rte_eth_stats *stats);\n static void eth_ark_dev_stats_reset(struct rte_eth_dev *dev);\n static int eth_ark_set_default_mac_addr(struct rte_eth_dev *dev,\n-\t\t\t\t\t struct ether_addr *mac_addr);\n+\t\t\t\t\t struct rte_ether_addr *mac_addr);\n static int eth_ark_macaddr_add(struct rte_eth_dev *dev,\n-\t\t\t       struct ether_addr *mac_addr,\n+\t\t\t       struct rte_ether_addr *mac_addr,\n \t\t\t       uint32_t index,\n \t\t\t       uint32_t pool);\n static void eth_ark_macaddr_remove(struct rte_eth_dev *dev,\n@@ -220,14 +220,14 @@ check_for_ext(struct ark_adapter *ark)\n \t\t(void (*)(struct rte_eth_dev *, void *))\n \t\tdlsym(ark->d_handle, \"stats_reset\");\n \tark->user_ext.mac_addr_add =\n-\t\t(void (*)(struct rte_eth_dev *, struct ether_addr *, uint32_t,\n-\t\t\t  uint32_t, void *))\n+\t\t(void (*)(struct rte_eth_dev *, struct rte_ether_addr *,\n+\t\t\tuint32_t, uint32_t, void *))\n \t\tdlsym(ark->d_handle, \"mac_addr_add\");\n \tark->user_ext.mac_addr_remove =\n \t\t(void (*)(struct rte_eth_dev *, uint32_t, void *))\n \t\tdlsym(ark->d_handle, \"mac_addr_remove\");\n \tark->user_ext.mac_addr_set =\n-\t\t(void (*)(struct rte_eth_dev *, struct ether_addr *,\n+\t\t(void (*)(struct rte_eth_dev *, struct rte_ether_addr *,\n \t\t\t  void *))\n \t\tdlsym(ark->d_handle, \"mac_addr_set\");\n \tark->user_ext.set_mtu =\n@@ -833,7 +833,7 @@ eth_ark_dev_stats_reset(struct rte_eth_dev *dev)\n \n static int\n eth_ark_macaddr_add(struct rte_eth_dev *dev,\n-\t\t    struct ether_addr *mac_addr,\n+\t\t    struct rte_ether_addr *mac_addr,\n \t\t    uint32_t index,\n \t\t    uint32_t pool)\n {\n@@ -864,7 +864,7 @@ eth_ark_macaddr_remove(struct rte_eth_dev *dev, uint32_t index)\n \n static int\n eth_ark_set_default_mac_addr(struct rte_eth_dev *dev,\n-\t\t\t     struct ether_addr *mac_addr)\n+\t\t\t     struct rte_ether_addr *mac_addr)\n {\n \tstruct ark_adapter *ark =\n \t\t(struct ark_adapter *)dev->data->dev_private;\ndiff --git a/drivers/net/ark/ark_ext.h b/drivers/net/ark/ark_ext.h\nindex f5af21538..5a987e4d6 100644\n--- a/drivers/net/ark/ark_ext.h\n+++ b/drivers/net/ark/ark_ext.h\n@@ -70,7 +70,7 @@ void stats_reset(struct rte_eth_dev *dev,\n \t\t void *user_data);\n \n void mac_addr_add(struct rte_eth_dev *dev,\n-\t\t  struct ether_addr *macadr,\n+\t\t  struct rte_ether_addr *macadr,\n \t\t  uint32_t index,\n \t\t  uint32_t pool,\n \t\t  void *user_data);\n@@ -80,7 +80,7 @@ void mac_addr_remove(struct rte_eth_dev *dev,\n \t\t     void *user_data);\n \n void mac_addr_set(struct rte_eth_dev *dev,\n-\t\t  struct ether_addr *mac_addr,\n+\t\t  struct rte_ether_addr *mac_addr,\n \t\t  void *user_data);\n \n int set_mtu(struct rte_eth_dev *dev,\ndiff --git a/drivers/net/ark/ark_global.h b/drivers/net/ark/ark_global.h\nindex f820091d7..403df5900 100644\n--- a/drivers/net/ark/ark_global.h\n+++ b/drivers/net/ark/ark_global.h\n@@ -71,12 +71,13 @@ struct ark_user_ext {\n \tint (*stats_get)(struct rte_eth_dev *, struct rte_eth_stats *, void *);\n \tvoid (*stats_reset)(struct rte_eth_dev *, void *);\n \tvoid (*mac_addr_add)(struct rte_eth_dev *,\n-\t\t\t\t\t\t  struct ether_addr *,\n+\t\t\t\t\t\t  struct rte_ether_addr *,\n \t\t\t\t\t\t uint32_t,\n \t\t\t\t\t\t uint32_t,\n \t\t\t\t\t\t void *);\n \tvoid (*mac_addr_remove)(struct rte_eth_dev *, uint32_t, void *);\n-\tvoid (*mac_addr_set)(struct rte_eth_dev *, struct ether_addr *, void *);\n+\tvoid (*mac_addr_set)(struct rte_eth_dev *, struct rte_ether_addr *,\n+\t\t\tvoid *);\n \tint (*set_mtu)(struct rte_eth_dev *, uint16_t, void *);\n };\n \ndiff --git a/drivers/net/atlantic/atl_ethdev.c b/drivers/net/atlantic/atl_ethdev.c\nindex c9c1795a1..9460318ae 100644\n--- a/drivers/net/atlantic/atl_ethdev.c\n+++ b/drivers/net/atlantic/atl_ethdev.c\n@@ -93,14 +93,14 @@ static void atl_dev_interrupt_handler(void *param);\n \n \n static int atl_add_mac_addr(struct rte_eth_dev *dev,\n-\t\t\t    struct ether_addr *mac_addr,\n+\t\t\t    struct rte_ether_addr *mac_addr,\n \t\t\t    uint32_t index, uint32_t pool);\n static void atl_remove_mac_addr(struct rte_eth_dev *dev, uint32_t index);\n static int atl_set_default_mac_addr(struct rte_eth_dev *dev,\n-\t\t\t\t\t   struct ether_addr *mac_addr);\n+\t\t\t\t\t   struct rte_ether_addr *mac_addr);\n \n static int atl_dev_set_mc_addr_list(struct rte_eth_dev *dev,\n-\t\t\t\t    struct ether_addr *mc_addr_set,\n+\t\t\t\t    struct rte_ether_addr *mc_addr_set,\n \t\t\t\t    uint32_t nb_mc_addr);\n \n /* RSS */\n@@ -1575,7 +1575,7 @@ atl_update_mac_addr(struct rte_eth_dev *dev, uint32_t index,\n }\n \n static int\n-atl_add_mac_addr(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n+atl_add_mac_addr(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr,\n \t\t\tuint32_t index __rte_unused, uint32_t pool __rte_unused)\n {\n \tif (is_zero_ether_addr(mac_addr)) {\n@@ -1593,7 +1593,7 @@ atl_remove_mac_addr(struct rte_eth_dev *dev, uint32_t index)\n }\n \n static int\n-atl_set_default_mac_addr(struct rte_eth_dev *dev, struct ether_addr *addr)\n+atl_set_default_mac_addr(struct rte_eth_dev *dev, struct rte_ether_addr *addr)\n {\n \tatl_remove_mac_addr(dev, 0);\n \tatl_add_mac_addr(dev, addr, 0, 0);\n@@ -1760,7 +1760,7 @@ atl_vlan_strip_queue_set(struct rte_eth_dev *dev, uint16_t queue_id, int on)\n \n static int\n atl_dev_set_mc_addr_list(struct rte_eth_dev *dev,\n-\t\t\t  struct ether_addr *mc_addr_set,\n+\t\t\t  struct rte_ether_addr *mc_addr_set,\n \t\t\t  uint32_t nb_mc_addr)\n {\n \tstruct aq_hw_s *hw = ATL_DEV_PRIVATE_TO_HW(dev->data->dev_private);\ndiff --git a/drivers/net/atlantic/hw_atl/hw_atl_utils.c b/drivers/net/atlantic/hw_atl/hw_atl_utils.c\nindex b399ae392..26a53a203 100644\n--- a/drivers/net/atlantic/hw_atl/hw_atl_utils.c\n+++ b/drivers/net/atlantic/hw_atl/hw_atl_utils.c\n@@ -657,8 +657,8 @@ static int hw_atl_utils_get_mac_permanent(struct aq_hw_s *self,\n \t\tmac_addr[1] = rte_constant_bswap32(mac_addr[1]);\n \t}\n \n-\tether_addr_copy((struct ether_addr *)mac_addr,\n-\t\t\t(struct ether_addr *)mac);\n+\tether_addr_copy((struct rte_ether_addr *)mac_addr,\n+\t\t\t(struct rte_ether_addr *)mac);\n \n \tif ((mac[0] & 0x01U) || ((mac[0] | mac[1] | mac[2]) == 0x00U)) {\n \t\t/* chip revision */\n@@ -868,8 +868,8 @@ static int aq_fw1x_set_wol(struct aq_hw_s *self, bool wol_enabled, u8 *mac)\n \t\tprpc->msg_wol.pattern_id = 1U;\n \t\tprpc->msg_wol.wol_packet_type = 2U; /* Magic Packet */\n \n-\t\tether_addr_copy((struct ether_addr *)mac,\n-\t\t\t(struct ether_addr *)&prpc->msg_wol.wol_pattern);\n+\t\tether_addr_copy((struct rte_ether_addr *)mac,\n+\t\t\t(struct rte_ether_addr *)&prpc->msg_wol.wol_pattern);\n \t} else {\n \t\trpc_size = sizeof(prpc->msg_id) + sizeof(prpc->msg_del_id);\n \ndiff --git a/drivers/net/atlantic/hw_atl/hw_atl_utils_fw2x.c b/drivers/net/atlantic/hw_atl/hw_atl_utils_fw2x.c\nindex 5927d90f1..55b5ce3e3 100644\n--- a/drivers/net/atlantic/hw_atl/hw_atl_utils_fw2x.c\n+++ b/drivers/net/atlantic/hw_atl/hw_atl_utils_fw2x.c\n@@ -228,8 +228,8 @@ int aq_fw2x_get_mac_permanent(struct aq_hw_s *self, u8 *mac)\n \t\tmac_addr[1] = rte_constant_bswap32(mac_addr[1]);\n \t}\n \n-\tether_addr_copy((struct ether_addr *)mac_addr,\n-\t\t\t(struct ether_addr *)mac);\n+\tether_addr_copy((struct rte_ether_addr *)mac_addr,\n+\t\t\t(struct rte_ether_addr *)mac);\n \n \tif ((mac[0] & 0x01U) || ((mac[0] | mac[1] | mac[2]) == 0x00U)) {\n \t\tunsigned int rnd = (uint32_t)rte_rand();\ndiff --git a/drivers/net/avp/avp_ethdev.c b/drivers/net/avp/avp_ethdev.c\nindex 09388d05f..69a70d2cc 100644\n--- a/drivers/net/avp/avp_ethdev.c\n+++ b/drivers/net/avp/avp_ethdev.c\n@@ -159,7 +159,7 @@ static const struct eth_dev_ops avp_eth_dev_ops = {\n struct avp_dev {\n \tuint32_t magic; /**< Memory validation marker */\n \tuint64_t device_id; /**< Unique system identifier */\n-\tstruct ether_addr ethaddr; /**< Host specified MAC address */\n+\tstruct rte_ether_addr ethaddr; /**< Host specified MAC address */\n \tstruct rte_eth_dev_data *dev_data;\n \t/**< Back pointer to ethernet device data */\n \tvolatile uint32_t flags; /**< Device operational flags */\n@@ -1199,7 +1199,7 @@ avp_dev_tx_queue_setup(struct rte_eth_dev *eth_dev,\n }\n \n static inline int\n-_avp_cmp_ether_addr(struct ether_addr *a, struct ether_addr *b)\n+_avp_cmp_ether_addr(struct rte_ether_addr *a, struct rte_ether_addr *b)\n {\n \tuint16_t *_a = (uint16_t *)&a->addr_bytes[0];\n \tuint16_t *_b = (uint16_t *)&b->addr_bytes[0];\n@@ -1209,7 +1209,7 @@ _avp_cmp_ether_addr(struct ether_addr *a, struct ether_addr *b)\n static inline int\n _avp_mac_filter(struct avp_dev *avp, struct rte_mbuf *m)\n {\n-\tstruct ether_hdr *eth = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\tstruct rte_ether_hdr *eth = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \tif (likely(_avp_cmp_ether_addr(&avp->ethaddr, &eth->d_addr) == 0)) {\n \t\t/* allow all packets destined to our address */\ndiff --git a/drivers/net/axgbe/axgbe_ethdev.h b/drivers/net/axgbe/axgbe_ethdev.h\nindex b1cd2980b..810ac4a74 100644\n--- a/drivers/net/axgbe/axgbe_ethdev.h\n+++ b/drivers/net/axgbe/axgbe_ethdev.h\n@@ -539,7 +539,7 @@ struct axgbe_port {\n \t/* Hardware features of the device */\n \tstruct axgbe_hw_features hw_feat;\n \n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_addr mac_addr;\n \n \t/* Software Tx/Rx structure pointers*/\n \tvoid **rx_queues;\ndiff --git a/drivers/net/bnx2x/bnx2x.c b/drivers/net/bnx2x/bnx2x.c\nindex 3e705c7a1..17b6817b6 100644\n--- a/drivers/net/bnx2x/bnx2x.c\n+++ b/drivers/net/bnx2x/bnx2x.c\n@@ -2197,8 +2197,8 @@ int bnx2x_tx_encap(struct bnx2x_tx_queue *txq, struct rte_mbuf *m0)\n \t\t\ttx_start_bd->vlan_or_ethertype =\n \t\t\t    rte_cpu_to_le_16(pkt_prod);\n \t\telse {\n-\t\t\tstruct ether_hdr *eh =\n-\t\t\t    rte_pktmbuf_mtod(m0, struct ether_hdr *);\n+\t\t\tstruct rte_ether_hdr *eh =\n+\t\t\t    rte_pktmbuf_mtod(m0, struct rte_ether_hdr *);\n \n \t\t\ttx_start_bd->vlan_or_ethertype =\n \t\t\t    rte_cpu_to_le_16(rte_be_to_cpu_16(eh->ether_type));\n@@ -2208,8 +2208,8 @@ int bnx2x_tx_encap(struct bnx2x_tx_queue *txq, struct rte_mbuf *m0)\n \tbd_prod = NEXT_TX_BD(bd_prod);\n \tif (IS_VF(sc)) {\n \t\tstruct eth_tx_parse_bd_e2 *tx_parse_bd;\n-\t\tconst struct ether_hdr *eh =\n-\t\t    rte_pktmbuf_mtod(m0, struct ether_hdr *);\n+\t\tconst struct rte_ether_hdr *eh =\n+\t\t    rte_pktmbuf_mtod(m0, struct rte_ether_hdr *);\n \t\tuint8_t mac_type = UNICAST_ADDRESS;\n \n \t\ttx_parse_bd =\ndiff --git a/drivers/net/bnx2x/bnx2x_ethdev.c b/drivers/net/bnx2x/bnx2x_ethdev.c\nindex 3063aea64..567dc5560 100644\n--- a/drivers/net/bnx2x/bnx2x_ethdev.c\n+++ b/drivers/net/bnx2x/bnx2x_ethdev.c\n@@ -501,7 +501,7 @@ bnx2x_dev_infos_get(struct rte_eth_dev *dev, struct rte_eth_dev_info *dev_info)\n }\n \n static int\n-bnx2x_mac_addr_add(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n+bnx2x_mac_addr_add(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr,\n \t\tuint32_t index, uint32_t pool)\n {\n \tstruct bnx2x_softc *sc = dev->data->dev_private;\n@@ -654,7 +654,8 @@ bnx2x_common_dev_init(struct rte_eth_dev *eth_dev, int is_vf)\n \t\t}\n \t}\n \n-\teth_dev->data->mac_addrs = (struct ether_addr *)sc->link_params.mac_addr;\n+\teth_dev->data->mac_addrs =\n+\t\t(struct rte_ether_addr *)sc->link_params.mac_addr;\n \n \tif (IS_VF(sc)) {\n \t\trte_spinlock_init(&sc->vf2pf_lock);\ndiff --git a/drivers/net/bnx2x/bnx2x_ethdev.h b/drivers/net/bnx2x/bnx2x_ethdev.h\nindex 45958db9a..bd3ef143b 100644\n--- a/drivers/net/bnx2x/bnx2x_ethdev.h\n+++ b/drivers/net/bnx2x/bnx2x_ethdev.h\n@@ -75,7 +75,8 @@ typedef int bool;\n \n /* MAC address operations */\n struct bnx2x_mac_ops {\n-\tvoid (*mac_addr_add)(struct rte_eth_dev *dev, struct ether_addr *addr,\n+\tvoid (*mac_addr_add)(struct rte_eth_dev *dev,\n+\t\t\tstruct rte_ether_addr *addr,\n \t\t\tuint16_t index, uint32_t pool);                           /* not implemented yet */\n \tvoid (*mac_addr_remove)(struct rte_eth_dev *dev, uint16_t index); /* not implemented yet */\n };\ndiff --git a/drivers/net/bnx2x/bnx2x_vfpf.c b/drivers/net/bnx2x/bnx2x_vfpf.c\nindex 048bf126f..cd5522d03 100644\n--- a/drivers/net/bnx2x/bnx2x_vfpf.c\n+++ b/drivers/net/bnx2x/bnx2x_vfpf.c\n@@ -302,7 +302,7 @@ int bnx2x_vf_get_resources(struct bnx2x_softc *sc, uint8_t tx_count, uint8_t rx_\n \n \tif (is_valid_assigned_ether_addr(&sc_resp.resc.current_mac_addr))\n \t\tether_addr_copy(&sc_resp.resc.current_mac_addr,\n-\t\t\t\t(struct ether_addr *)sc->link_params.mac_addr);\n+\t\t\t(struct rte_ether_addr *)sc->link_params.mac_addr);\n \telse\n \t\teth_random_addr(sc->link_params.mac_addr);\n \ndiff --git a/drivers/net/bnx2x/bnx2x_vfpf.h b/drivers/net/bnx2x/bnx2x_vfpf.h\nindex cc6fef956..6964c9d98 100644\n--- a/drivers/net/bnx2x/bnx2x_vfpf.h\n+++ b/drivers/net/bnx2x/bnx2x_vfpf.h\n@@ -115,7 +115,7 @@ struct vf_resc {\n \tuint8_t num_vlan_filters;\n \tuint8_t num_mc_filters;\n \tuint8_t permanent_mac_addr[ETH_ALEN];\n-\tstruct ether_addr current_mac_addr;\n+\tstruct rte_ether_addr current_mac_addr;\n \tuint16_t pf_link_speed;\n \tuint32_t pf_link_supported;\n };\ndiff --git a/drivers/net/bnxt/bnxt_ethdev.c b/drivers/net/bnxt/bnxt_ethdev.c\nindex da0de211d..c64315053 100644\n--- a/drivers/net/bnxt/bnxt_ethdev.c\n+++ b/drivers/net/bnxt/bnxt_ethdev.c\n@@ -760,7 +760,7 @@ static void bnxt_mac_addr_remove_op(struct rte_eth_dev *eth_dev,\n }\n \n static int bnxt_mac_addr_add_op(struct rte_eth_dev *eth_dev,\n-\t\t\t\tstruct ether_addr *mac_addr,\n+\t\t\t\tstruct rte_ether_addr *mac_addr,\n \t\t\t\tuint32_t index, uint32_t pool)\n {\n \tstruct bnxt *bp = (struct bnxt *)eth_dev->data->dev_private;\n@@ -1451,7 +1451,8 @@ bnxt_vlan_offload_set_op(struct rte_eth_dev *dev, int mask)\n }\n \n static int\n-bnxt_set_default_mac_addr_op(struct rte_eth_dev *dev, struct ether_addr *addr)\n+bnxt_set_default_mac_addr_op(struct rte_eth_dev *dev,\n+\t\t\tstruct rte_ether_addr *addr)\n {\n \tstruct bnxt *bp = (struct bnxt *)dev->data->dev_private;\n \t/* Default Filter is tied to VNIC 0 */\n@@ -1489,7 +1490,7 @@ bnxt_set_default_mac_addr_op(struct rte_eth_dev *dev, struct ether_addr *addr)\n \n static int\n bnxt_dev_set_mc_addr_list_op(struct rte_eth_dev *eth_dev,\n-\t\t\t  struct ether_addr *mc_addr_set,\n+\t\t\t  struct rte_ether_addr *mc_addr_set,\n \t\t\t  uint32_t nb_mc_addr)\n {\n \tstruct bnxt *bp = (struct bnxt *)eth_dev->data->dev_private;\ndiff --git a/drivers/net/bnxt/bnxt_hwrm.c b/drivers/net/bnxt/bnxt_hwrm.c\nindex eb5c41ebb..59e75b0be 100644\n--- a/drivers/net/bnxt/bnxt_hwrm.c\n+++ b/drivers/net/bnxt/bnxt_hwrm.c\n@@ -2578,7 +2578,7 @@ static void add_random_mac_if_needed(struct bnxt *bp,\n \t\t\t\t     struct hwrm_func_cfg_input *cfg_req,\n \t\t\t\t     int vf)\n {\n-\tstruct ether_addr mac;\n+\tstruct rte_ether_addr mac;\n \n \tif (bnxt_hwrm_func_qcfg_vf_default_mac(bp, vf, &mac))\n \t\treturn;\n@@ -3112,7 +3112,7 @@ int bnxt_hwrm_reject_fwd_resp(struct bnxt *bp, uint16_t target_id,\n }\n \n int bnxt_hwrm_func_qcfg_vf_default_mac(struct bnxt *bp, uint16_t vf,\n-\t\t\t\t       struct ether_addr *mac)\n+\t\t\t\t       struct rte_ether_addr *mac)\n {\n \tstruct hwrm_func_qcfg_input req = {0};\n \tstruct hwrm_func_qcfg_output *resp = bp->hwrm_cmd_resp_addr;\ndiff --git a/drivers/net/bnxt/bnxt_hwrm.h b/drivers/net/bnxt/bnxt_hwrm.h\nindex ec9b3e007..53d79f046 100644\n--- a/drivers/net/bnxt/bnxt_hwrm.h\n+++ b/drivers/net/bnxt/bnxt_hwrm.h\n@@ -130,7 +130,7 @@ int bnxt_hwrm_func_bw_cfg(struct bnxt *bp, uint16_t vf,\n \t\t\tuint16_t max_bw, uint16_t enables);\n int bnxt_hwrm_set_vf_vlan(struct bnxt *bp, int vf);\n int bnxt_hwrm_func_qcfg_vf_default_mac(struct bnxt *bp, uint16_t vf,\n-\t\t\t\t       struct ether_addr *mac);\n+\t\t\t\t       struct rte_ether_addr *mac);\n int bnxt_hwrm_func_qcfg_current_vf_vlan(struct bnxt *bp, int vf);\n int bnxt_hwrm_tunnel_dst_port_alloc(struct bnxt *bp, uint16_t port,\n \t\t\t\tuint8_t tunnel_type);\ndiff --git a/drivers/net/bnxt/rte_pmd_bnxt.c b/drivers/net/bnxt/rte_pmd_bnxt.c\nindex c298de83c..5e3d1bfb1 100644\n--- a/drivers/net/bnxt/rte_pmd_bnxt.c\n+++ b/drivers/net/bnxt/rte_pmd_bnxt.c\n@@ -132,7 +132,7 @@ int rte_pmd_bnxt_set_all_queues_drop_en(uint16_t port, uint8_t on)\n }\n \n int rte_pmd_bnxt_set_vf_mac_addr(uint16_t port, uint16_t vf,\n-\t\t\t\tstruct ether_addr *mac_addr)\n+\t\t\t\tstruct rte_ether_addr *mac_addr)\n {\n \tstruct rte_eth_dev *dev;\n \tstruct rte_eth_dev_info dev_info;\n@@ -647,7 +647,7 @@ int rte_pmd_bnxt_get_vf_tx_drop_count(uint16_t port, uint16_t vf_id,\n \t\t\t\t\t     count);\n }\n \n-int rte_pmd_bnxt_mac_addr_add(uint16_t port, struct ether_addr *addr,\n+int rte_pmd_bnxt_mac_addr_add(uint16_t port, struct rte_ether_addr *addr,\n \t\t\t\tuint32_t vf_id)\n {\n \tstruct rte_eth_dev *dev;\n@@ -655,7 +655,7 @@ int rte_pmd_bnxt_mac_addr_add(uint16_t port, struct ether_addr *addr,\n \tstruct bnxt *bp;\n \tstruct bnxt_filter_info *filter;\n \tstruct bnxt_vnic_info vnic;\n-\tstruct ether_addr dflt_mac;\n+\tstruct rte_ether_addr dflt_mac;\n \tint rc;\n \n \tdev = &rte_eth_devices[port];\ndiff --git a/drivers/net/bnxt/rte_pmd_bnxt.h b/drivers/net/bnxt/rte_pmd_bnxt.h\nindex 68fbe34d6..2e893cc7b 100644\n--- a/drivers/net/bnxt/rte_pmd_bnxt.h\n+++ b/drivers/net/bnxt/rte_pmd_bnxt.h\n@@ -67,7 +67,7 @@ int rte_pmd_bnxt_set_vf_mac_anti_spoof(uint16_t port, uint16_t vf, uint8_t on);\n  *   - (-EINVAL) if *vf* or *mac_addr* is invalid.\n  */\n int rte_pmd_bnxt_set_vf_mac_addr(uint16_t port, uint16_t vf,\n-\t\tstruct ether_addr *mac_addr);\n+\t\tstruct rte_ether_addr *mac_addr);\n \n /**\n  * Enable/Disable vf vlan strip for all queues in a pool\n@@ -303,7 +303,7 @@ int rte_pmd_bnxt_get_vf_tx_drop_count(uint16_t port, uint16_t vf_id,\n  *   - (-ENOTSUP) Ethernet device is not a PF\n  *   - (-ENOMEM) on an allocation failure\n  */\n-int rte_pmd_bnxt_mac_addr_add(uint16_t port, struct ether_addr *mac_addr,\n+int rte_pmd_bnxt_mac_addr_add(uint16_t port, struct rte_ether_addr *mac_addr,\n \t\t\t\tuint32_t vf_id);\n \n /**\ndiff --git a/drivers/net/bonding/rte_eth_bond.h b/drivers/net/bonding/rte_eth_bond.h\nindex b668ff9ad..874aa91a5 100644\n--- a/drivers/net/bonding/rte_eth_bond.h\n+++ b/drivers/net/bonding/rte_eth_bond.h\n@@ -225,7 +225,7 @@ rte_eth_bond_active_slaves_get(uint16_t bonded_port_id, uint16_t slaves[],\n  */\n int\n rte_eth_bond_mac_address_set(uint16_t bonded_port_id,\n-\t\tstruct ether_addr *mac_addr);\n+\t\tstruct rte_ether_addr *mac_addr);\n \n /**\n  * Reset bonded device to use MAC from primary slave on bonded device and it's\ndiff --git a/drivers/net/bonding/rte_eth_bond_8023ad.c b/drivers/net/bonding/rte_eth_bond_8023ad.c\nindex 1e6a3fc7c..51bf3f52e 100644\n--- a/drivers/net/bonding/rte_eth_bond_8023ad.c\n+++ b/drivers/net/bonding/rte_eth_bond_8023ad.c\n@@ -126,7 +126,7 @@ bond_print_lacp(struct lacpdu *l)\n #define MODE4_DEBUG(fmt, ...) do { } while (0)\n #endif\n \n-static const struct ether_addr lacp_mac_addr = {\n+static const struct rte_ether_addr lacp_mac_addr = {\n \t.addr_bytes = { 0x01, 0x80, 0xC2, 0x00, 0x00, 0x02 }\n };\n \n@@ -810,7 +810,7 @@ bond_mode_8023ad_periodic_cb(void *arg)\n \tstruct bond_dev_private *internals = bond_dev->data->dev_private;\n \tstruct port *port;\n \tstruct rte_eth_link link_info;\n-\tstruct ether_addr slave_addr;\n+\tstruct rte_ether_addr slave_addr;\n \tstruct rte_mbuf *lacp_pkt = NULL;\n \tuint16_t slave_id;\n \tuint16_t i;\n@@ -1044,7 +1044,7 @@ void\n bond_mode_8023ad_mac_address_update(struct rte_eth_dev *bond_dev)\n {\n \tstruct bond_dev_private *internals = bond_dev->data->dev_private;\n-\tstruct ether_addr slave_addr;\n+\tstruct rte_ether_addr slave_addr;\n \tstruct port *slave, *agg_slave;\n \tuint16_t slave_id, i, j;\n \ndiff --git a/drivers/net/bonding/rte_eth_bond_8023ad.h b/drivers/net/bonding/rte_eth_bond_8023ad.h\nindex d8b5dbc21..cbad59aa7 100644\n--- a/drivers/net/bonding/rte_eth_bond_8023ad.h\n+++ b/drivers/net/bonding/rte_eth_bond_8023ad.h\n@@ -58,14 +58,14 @@ struct slow_protocol {\n \n /** Generic slow protocol frame type structure */\n struct slow_protocol_frame {\n-\tstruct ether_hdr eth_hdr;\n+\tstruct rte_ether_hdr eth_hdr;\n \tstruct slow_protocol slow_protocol;\n } __attribute__((__packed__));\n \n struct port_params {\n \tuint16_t system_priority;\n \t/**< System priority (unused in current implementation) */\n-\tstruct ether_addr system;\n+\tstruct rte_ether_addr system;\n \t/**< System ID - Slave MAC address, same as bonding MAC address */\n \tuint16_t key;\n \t/**< Speed information (implementation dependednt) and duplex. */\n@@ -103,7 +103,7 @@ struct lacpdu {\n \n /** LACPDU frame: Contains ethernet header and LACPDU. */\n struct lacpdu_header {\n-\tstruct ether_hdr eth_hdr;\n+\tstruct rte_ether_hdr eth_hdr;\n \tstruct lacpdu lacpdu;\n } __attribute__((__packed__));\n \n@@ -114,7 +114,7 @@ struct marker {\n \tuint8_t tlv_type_marker;\n \tuint8_t info_length;\n \tuint16_t requester_port;\n-\tstruct ether_addr requester_system;\n+\tstruct rte_ether_addr requester_system;\n \tuint32_t requester_transaction_id;\n \tuint8_t reserved_2[2];\n \n@@ -124,7 +124,7 @@ struct marker {\n } __attribute__((__packed__));\n \n struct marker_header {\n-\tstruct ether_hdr eth_hdr;\n+\tstruct rte_ether_hdr eth_hdr;\n \tstruct marker marker;\n } __attribute__((__packed__));\n \ndiff --git a/drivers/net/bonding/rte_eth_bond_8023ad_private.h b/drivers/net/bonding/rte_eth_bond_8023ad_private.h\nindex f91902ebd..d905de425 100644\n--- a/drivers/net/bonding/rte_eth_bond_8023ad_private.h\n+++ b/drivers/net/bonding/rte_eth_bond_8023ad_private.h\n@@ -150,7 +150,7 @@ struct mode8023ad_private {\n \tuint64_t update_timeout_us;\n \trte_eth_bond_8023ad_ext_slowrx_fn slowrx_cb;\n \tuint8_t external_sm;\n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_addr mac_addr;\n \n \tstruct rte_eth_link slave_link;\n \t/***< slave link properties */\ndiff --git a/drivers/net/bonding/rte_eth_bond_alb.c b/drivers/net/bonding/rte_eth_bond_alb.c\nindex f16ae8f21..da0b062a0 100644\n--- a/drivers/net/bonding/rte_eth_bond_alb.c\n+++ b/drivers/net/bonding/rte_eth_bond_alb.c\n@@ -72,8 +72,9 @@ bond_mode_alb_enable(struct rte_eth_dev *bond_dev)\n \treturn -ENOMEM;\n }\n \n-void bond_mode_alb_arp_recv(struct ether_hdr *eth_h, uint16_t offset,\n-\t\tstruct bond_dev_private *internals) {\n+void bond_mode_alb_arp_recv(struct rte_ether_hdr *eth_h, uint16_t offset,\n+\t\tstruct bond_dev_private *internals)\n+{\n \tstruct rte_arp_hdr *arp;\n \n \tstruct client_data *hash_table = internals->mode6.client_table;\n@@ -102,7 +103,7 @@ void bond_mode_alb_arp_recv(struct ether_hdr *eth_h, uint16_t offset,\n \t\t\tclient_info->app_ip != arp->arp_data.arp_tip ||\n \t\t\tclient_info->cli_ip != arp->arp_data.arp_sip ||\n \t\t\t!is_same_ether_addr(&client_info->cli_mac, &arp->arp_data.arp_sha) ||\n-\t\t\tclient_info->vlan_count != offset / sizeof(struct vlan_hdr) ||\n+\t\t\tclient_info->vlan_count != offset / sizeof(struct rte_vlan_hdr) ||\n \t\t\tmemcmp(client_info->vlan, eth_h + 1, offset) != 0\n \t) {\n \t\tclient_info->in_use = 1;\n@@ -113,14 +114,14 @@ void bond_mode_alb_arp_recv(struct ether_hdr *eth_h, uint16_t offset,\n \t\trte_eth_macaddr_get(client_info->slave_idx, &client_info->app_mac);\n \t\tether_addr_copy(&client_info->app_mac, &arp->arp_data.arp_tha);\n \t\tmemcpy(client_info->vlan, eth_h + 1, offset);\n-\t\tclient_info->vlan_count = offset / sizeof(struct vlan_hdr);\n+\t\tclient_info->vlan_count = offset / sizeof(struct rte_vlan_hdr);\n \t}\n \tinternals->mode6.ntt = 1;\n \trte_spinlock_unlock(&internals->mode6.lock);\n }\n \n uint16_t\n-bond_mode_alb_arp_xmit(struct ether_hdr *eth_h, uint16_t offset,\n+bond_mode_alb_arp_xmit(struct rte_ether_hdr *eth_h, uint16_t offset,\n \t\tstruct bond_dev_private *internals)\n {\n \tstruct rte_arp_hdr *arp;\n@@ -130,7 +131,7 @@ bond_mode_alb_arp_xmit(struct ether_hdr *eth_h, uint16_t offset,\n \n \tuint8_t hash_index;\n \n-\tstruct ether_addr bonding_mac;\n+\tstruct rte_ether_addr bonding_mac;\n \n \tarp = (struct rte_arp_hdr *)((char *)(eth_h + 1) + offset);\n \n@@ -163,7 +164,7 @@ bond_mode_alb_arp_xmit(struct ether_hdr *eth_h, uint16_t offset,\n \t\t\t\t\t\t&client_info->app_mac);\n \t\t\t\tether_addr_copy(&client_info->app_mac, &arp->arp_data.arp_sha);\n \t\t\t\tmemcpy(client_info->vlan, eth_h + 1, offset);\n-\t\t\t\tclient_info->vlan_count = offset / sizeof(struct vlan_hdr);\n+\t\t\t\tclient_info->vlan_count = offset / sizeof(struct rte_vlan_hdr);\n \t\t\t\trte_spinlock_unlock(&internals->mode6.lock);\n \t\t\t\treturn client_info->slave_idx;\n \t\t\t}\n@@ -179,7 +180,7 @@ bond_mode_alb_arp_xmit(struct ether_hdr *eth_h, uint16_t offset,\n \t\trte_eth_macaddr_get(client_info->slave_idx, &client_info->app_mac);\n \t\tether_addr_copy(&client_info->app_mac, &arp->arp_data.arp_sha);\n \t\tmemcpy(client_info->vlan, eth_h + 1, offset);\n-\t\tclient_info->vlan_count = offset / sizeof(struct vlan_hdr);\n+\t\tclient_info->vlan_count = offset / sizeof(struct rte_vlan_hdr);\n \t\trte_spinlock_unlock(&internals->mode6.lock);\n \t\treturn client_info->slave_idx;\n \t}\n@@ -195,12 +196,12 @@ uint16_t\n bond_mode_alb_arp_upd(struct client_data *client_info,\n \t\tstruct rte_mbuf *pkt, struct bond_dev_private *internals)\n {\n-\tstruct ether_hdr *eth_h;\n+\tstruct rte_ether_hdr *eth_h;\n \tstruct rte_arp_hdr *arp_h;\n \tuint16_t slave_idx;\n \n \trte_spinlock_lock(&internals->mode6.lock);\n-\teth_h = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\teth_h = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \n \tether_addr_copy(&client_info->app_mac, &eth_h->s_addr);\n \tether_addr_copy(&client_info->cli_mac, &eth_h->d_addr);\n@@ -209,11 +210,12 @@ bond_mode_alb_arp_upd(struct client_data *client_info,\n \telse\n \t\teth_h->ether_type = rte_cpu_to_be_16(ETHER_TYPE_ARP);\n \n-\tarp_h = (struct rte_arp_hdr *)((char *)eth_h + sizeof(struct ether_hdr)\n-\t\t\t+ client_info->vlan_count * sizeof(struct vlan_hdr));\n+\tarp_h = (struct rte_arp_hdr *)(\n+\t\t(char *)eth_h + sizeof(struct rte_ether_hdr)\n+\t\t+ client_info->vlan_count * sizeof(struct rte_vlan_hdr));\n \n \tmemcpy(eth_h + 1, client_info->vlan,\n-\t\t\tclient_info->vlan_count * sizeof(struct vlan_hdr));\n+\t\t\tclient_info->vlan_count * sizeof(struct rte_vlan_hdr));\n \n \tether_addr_copy(&client_info->app_mac, &arp_h->arp_data.arp_sha);\n \tarp_h->arp_data.arp_sip = client_info->app_ip;\ndiff --git a/drivers/net/bonding/rte_eth_bond_alb.h b/drivers/net/bonding/rte_eth_bond_alb.h\nindex 4640fd24e..386e70c59 100644\n--- a/drivers/net/bonding/rte_eth_bond_alb.h\n+++ b/drivers/net/bonding/rte_eth_bond_alb.h\n@@ -13,11 +13,11 @@\n \n struct client_data {\n \t/** ARP data of single client */\n-\tstruct ether_addr app_mac;\n+\tstruct rte_ether_addr app_mac;\n \t/**< MAC address of application running DPDK */\n \tuint32_t app_ip;\n \t/**< IP address of application running DPDK */\n-\tstruct ether_addr cli_mac;\n+\tstruct rte_ether_addr cli_mac;\n \t/**< Client MAC address */\n \tuint32_t cli_ip;\n \t/**< Client IP address */\n@@ -29,7 +29,7 @@ struct client_data {\n \tuint8_t ntt;\n \t/**< Flag indicating if we need to send update to this client on next tx */\n \n-\tstruct vlan_hdr vlan[2];\n+\tstruct rte_vlan_hdr vlan[2];\n \t/**< Content of vlan headers */\n \tuint8_t vlan_count;\n \t/**< Number of nested vlan headers */\n@@ -68,7 +68,7 @@ bond_mode_alb_enable(struct rte_eth_dev *bond_dev);\n  * @param internals\t\tBonding data.\n  */\n void\n-bond_mode_alb_arp_recv(struct ether_hdr *eth_h, uint16_t offset,\n+bond_mode_alb_arp_recv(struct rte_ether_hdr *eth_h, uint16_t offset,\n \t\tstruct bond_dev_private *internals);\n \n /**\n@@ -85,7 +85,7 @@ bond_mode_alb_arp_recv(struct ether_hdr *eth_h, uint16_t offset,\n  * Index of slave on which packet should be sent.\n  */\n uint16_t\n-bond_mode_alb_arp_xmit(struct ether_hdr *eth_h, uint16_t offset,\n+bond_mode_alb_arp_xmit(struct rte_ether_hdr *eth_h, uint16_t offset,\n \t\tstruct bond_dev_private *internals);\n \n /**\ndiff --git a/drivers/net/bonding/rte_eth_bond_api.c b/drivers/net/bonding/rte_eth_bond_api.c\nindex a23988dc7..0fc4c5eda 100644\n--- a/drivers/net/bonding/rte_eth_bond_api.c\n+++ b/drivers/net/bonding/rte_eth_bond_api.c\n@@ -840,7 +840,7 @@ rte_eth_bond_active_slaves_get(uint16_t bonded_port_id, uint16_t slaves[],\n \n int\n rte_eth_bond_mac_address_set(uint16_t bonded_port_id,\n-\t\tstruct ether_addr *mac_addr)\n+\t\tstruct rte_ether_addr *mac_addr)\n {\n \tstruct rte_eth_dev *bonded_eth_dev;\n \tstruct bond_dev_private *internals;\ndiff --git a/drivers/net/bonding/rte_eth_bond_args.c b/drivers/net/bonding/rte_eth_bond_args.c\nindex b60fde6a8..01bbb06c1 100644\n--- a/drivers/net/bonding/rte_eth_bond_args.c\n+++ b/drivers/net/bonding/rte_eth_bond_args.c\n@@ -282,7 +282,7 @@ bond_ethdev_parse_bond_mac_addr_kvarg(const char *key __rte_unused,\n \n \t/* Parse MAC */\n \treturn cmdline_parse_etheraddr(NULL, value, extra_args,\n-\t\tsizeof(struct ether_addr));\n+\t\tsizeof(struct rte_ether_addr));\n }\n \n int\ndiff --git a/drivers/net/bonding/rte_eth_bond_pmd.c b/drivers/net/bonding/rte_eth_bond_pmd.c\nindex b5300df12..d960e7ff6 100644\n--- a/drivers/net/bonding/rte_eth_bond_pmd.c\n+++ b/drivers/net/bonding/rte_eth_bond_pmd.c\n@@ -33,21 +33,22 @@\n static uint64_t tlb_last_obytets[RTE_MAX_ETHPORTS];\n \n static inline size_t\n-get_vlan_offset(struct ether_hdr *eth_hdr, uint16_t *proto)\n+get_vlan_offset(struct rte_ether_hdr *eth_hdr, uint16_t *proto)\n {\n \tsize_t vlan_offset = 0;\n \n \tif (rte_cpu_to_be_16(ETHER_TYPE_VLAN) == *proto ||\n \t\trte_cpu_to_be_16(ETHER_TYPE_QINQ) == *proto) {\n-\t\tstruct vlan_hdr *vlan_hdr = (struct vlan_hdr *)(eth_hdr + 1);\n+\t\tstruct rte_vlan_hdr *vlan_hdr =\n+\t\t\t(struct rte_vlan_hdr *)(eth_hdr + 1);\n \n-\t\tvlan_offset = sizeof(struct vlan_hdr);\n+\t\tvlan_offset = sizeof(struct rte_vlan_hdr);\n \t\t*proto = vlan_hdr->eth_proto;\n \n \t\tif (rte_cpu_to_be_16(ETHER_TYPE_VLAN) == *proto) {\n \t\t\tvlan_hdr = vlan_hdr + 1;\n \t\t\t*proto = vlan_hdr->eth_proto;\n-\t\t\tvlan_offset += sizeof(struct vlan_hdr);\n+\t\t\tvlan_offset += sizeof(struct rte_vlan_hdr);\n \t\t}\n \t}\n \treturn vlan_offset;\n@@ -394,8 +395,8 @@ bond_ethdev_rx_burst_8023ad(void *queue, struct rte_mbuf **bufs,\n \tstruct bond_dev_private *internals = bd_rx_q->dev_private;\n \tstruct rte_eth_dev *bonded_eth_dev =\n \t\t\t\t\t&rte_eth_devices[internals->port_id];\n-\tstruct ether_addr *bond_mac = bonded_eth_dev->data->mac_addrs;\n-\tstruct ether_hdr *hdr;\n+\tstruct rte_ether_addr *bond_mac = bonded_eth_dev->data->mac_addrs;\n+\tstruct rte_ether_hdr *hdr;\n \n \tconst uint16_t ether_type_slow_be = rte_be_to_cpu_16(ETHER_TYPE_SLOW);\n \tuint16_t num_rx_total = 0;\t/* Total number of received packets */\n@@ -444,7 +445,7 @@ bond_ethdev_rx_burst_8023ad(void *queue, struct rte_mbuf **bufs,\n \t\t\tif (j + 3 < num_rx_total)\n \t\t\t\trte_prefetch0(rte_pktmbuf_mtod(bufs[j + 3], void *));\n \n-\t\t\thdr = rte_pktmbuf_mtod(bufs[j], struct ether_hdr *);\n+\t\t\thdr = rte_pktmbuf_mtod(bufs[j], struct rte_ether_hdr *);\n \t\t\tsubtype = ((struct slow_protocol_frame *)hdr)->slow_protocol.subtype;\n \n \t\t\t/* Remove packet from array if it is slow packet or slave is not\n@@ -584,8 +585,9 @@ update_client_stats(uint32_t addr, uint16_t port, uint32_t *TXorRXindicator)\n #endif\n \n static void\n-mode6_debug(const char __attribute__((unused)) *info, struct ether_hdr *eth_h,\n-\t\tuint16_t port, uint32_t __attribute__((unused)) *burstnumber)\n+mode6_debug(const char __attribute__((unused)) *info,\n+\tstruct rte_ether_hdr *eth_h, uint16_t port,\n+\tuint32_t __attribute__((unused)) *burstnumber)\n {\n \tstruct ipv4_hdr *ipv4_h;\n #ifdef RTE_LIBRTE_BOND_DEBUG_ALB\n@@ -630,7 +632,7 @@ bond_ethdev_rx_burst_alb(void *queue, struct rte_mbuf **bufs, uint16_t nb_pkts)\n {\n \tstruct bond_tx_queue *bd_tx_q = (struct bond_tx_queue *)queue;\n \tstruct bond_dev_private *internals = bd_tx_q->dev_private;\n-\tstruct ether_hdr *eth_h;\n+\tstruct rte_ether_hdr *eth_h;\n \tuint16_t ether_type, offset;\n \tuint16_t nb_recv_pkts;\n \tint i;\n@@ -638,7 +640,7 @@ bond_ethdev_rx_burst_alb(void *queue, struct rte_mbuf **bufs, uint16_t nb_pkts)\n \tnb_recv_pkts = bond_ethdev_rx_burst(queue, bufs, nb_pkts);\n \n \tfor (i = 0; i < nb_recv_pkts; i++) {\n-\t\teth_h = rte_pktmbuf_mtod(bufs[i], struct ether_hdr *);\n+\t\teth_h = rte_pktmbuf_mtod(bufs[i], struct rte_ether_hdr *);\n \t\tether_type = eth_h->ether_type;\n \t\toffset = get_vlan_offset(eth_h, &ether_type);\n \n@@ -738,7 +740,7 @@ bond_ethdev_tx_burst_active_backup(void *queue,\n }\n \n static inline uint16_t\n-ether_hash(struct ether_hdr *eth_hdr)\n+ether_hash(struct rte_ether_hdr *eth_hdr)\n {\n \tunaligned_uint16_t *word_src_addr =\n \t\t(unaligned_uint16_t *)eth_hdr->s_addr.addr_bytes;\n@@ -775,12 +777,12 @@ void\n burst_xmit_l2_hash(struct rte_mbuf **buf, uint16_t nb_pkts,\n \t\tuint16_t slave_count, uint16_t *slaves)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tuint32_t hash;\n \tint i;\n \n \tfor (i = 0; i < nb_pkts; i++) {\n-\t\teth_hdr = rte_pktmbuf_mtod(buf[i], struct ether_hdr *);\n+\t\teth_hdr = rte_pktmbuf_mtod(buf[i], struct rte_ether_hdr *);\n \n \t\thash = ether_hash(eth_hdr);\n \n@@ -793,13 +795,13 @@ burst_xmit_l23_hash(struct rte_mbuf **buf, uint16_t nb_pkts,\n \t\tuint16_t slave_count, uint16_t *slaves)\n {\n \tuint16_t i;\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tuint16_t proto;\n \tsize_t vlan_offset;\n \tuint32_t hash, l3hash;\n \n \tfor (i = 0; i < nb_pkts; i++) {\n-\t\teth_hdr = rte_pktmbuf_mtod(buf[i], struct ether_hdr *);\n+\t\teth_hdr = rte_pktmbuf_mtod(buf[i], struct rte_ether_hdr *);\n \t\tl3hash = 0;\n \n \t\tproto = eth_hdr->ether_type;\n@@ -830,7 +832,7 @@ void\n burst_xmit_l34_hash(struct rte_mbuf **buf, uint16_t nb_pkts,\n \t\tuint16_t slave_count, uint16_t *slaves)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tuint16_t proto;\n \tsize_t vlan_offset;\n \tint i;\n@@ -840,7 +842,7 @@ burst_xmit_l34_hash(struct rte_mbuf **buf, uint16_t nb_pkts,\n \tuint32_t hash, l3hash, l4hash;\n \n \tfor (i = 0; i < nb_pkts; i++) {\n-\t\teth_hdr = rte_pktmbuf_mtod(buf[i], struct ether_hdr *);\n+\t\teth_hdr = rte_pktmbuf_mtod(buf[i], struct rte_ether_hdr *);\n \t\tsize_t pkt_end = (size_t)eth_hdr + rte_pktmbuf_data_len(buf[i]);\n \t\tproto = eth_hdr->ether_type;\n \t\tvlan_offset = get_vlan_offset(eth_hdr, &proto);\n@@ -1008,9 +1010,9 @@ bond_ethdev_tx_burst_tlb(void *queue, struct rte_mbuf **bufs, uint16_t nb_pkts)\n \tuint16_t num_of_slaves = internals->active_slave_count;\n \tuint16_t slaves[RTE_MAX_ETHPORTS];\n \n-\tstruct ether_hdr *ether_hdr;\n-\tstruct ether_addr primary_slave_addr;\n-\tstruct ether_addr active_slave_addr;\n+\tstruct rte_ether_hdr *ether_hdr;\n+\tstruct rte_ether_addr primary_slave_addr;\n+\tstruct rte_ether_addr active_slave_addr;\n \n \tif (num_of_slaves < 1)\n \t\treturn num_tx_total;\n@@ -1032,7 +1034,8 @@ bond_ethdev_tx_burst_tlb(void *queue, struct rte_mbuf **bufs, uint16_t nb_pkts)\n \t\t\tif (j + 3 < nb_pkts)\n \t\t\t\trte_prefetch0(rte_pktmbuf_mtod(bufs[j+3], void*));\n \n-\t\t\tether_hdr = rte_pktmbuf_mtod(bufs[j], struct ether_hdr *);\n+\t\t\tether_hdr = rte_pktmbuf_mtod(bufs[j],\n+\t\t\t\t\t\tstruct rte_ether_hdr *);\n \t\t\tif (is_same_ether_addr(&ether_hdr->s_addr, &primary_slave_addr))\n \t\t\t\tether_addr_copy(&active_slave_addr, &ether_hdr->s_addr);\n #if defined(RTE_LIBRTE_BOND_DEBUG_ALB) || defined(RTE_LIBRTE_BOND_DEBUG_ALB_L1)\n@@ -1068,7 +1071,7 @@ bond_ethdev_tx_burst_alb(void *queue, struct rte_mbuf **bufs, uint16_t nb_pkts)\n \tstruct bond_tx_queue *bd_tx_q = (struct bond_tx_queue *)queue;\n \tstruct bond_dev_private *internals = bd_tx_q->dev_private;\n \n-\tstruct ether_hdr *eth_h;\n+\tstruct rte_ether_hdr *eth_h;\n \tuint16_t ether_type, offset;\n \n \tstruct client_data *client_info;\n@@ -1098,7 +1101,7 @@ bond_ethdev_tx_burst_alb(void *queue, struct rte_mbuf **bufs, uint16_t nb_pkts)\n \n \t/* Search tx buffer for ARP packets and forward them to alb */\n \tfor (i = 0; i < nb_pkts; i++) {\n-\t\teth_h = rte_pktmbuf_mtod(bufs[i], struct ether_hdr *);\n+\t\teth_h = rte_pktmbuf_mtod(bufs[i], struct rte_ether_hdr *);\n \t\tether_type = eth_h->ether_type;\n \t\toffset = get_vlan_offset(eth_h, &ether_type);\n \n@@ -1132,10 +1135,10 @@ bond_ethdev_tx_burst_alb(void *queue, struct rte_mbuf **bufs, uint16_t nb_pkts)\n \t\t\t\t\t\t     \"Failed to allocate ARP packet from pool\");\n \t\t\t\t\tcontinue;\n \t\t\t\t}\n-\t\t\t\tpkt_size = sizeof(struct ether_hdr) +\n+\t\t\t\tpkt_size = sizeof(struct rte_ether_hdr) +\n \t\t\t\t\tsizeof(struct rte_arp_hdr) +\n \t\t\t\t\tclient_info->vlan_count *\n-\t\t\t\t\tsizeof(struct vlan_hdr);\n+\t\t\t\t\tsizeof(struct rte_vlan_hdr);\n \t\t\t\tupd_pkt->data_len = pkt_size;\n \t\t\t\tupd_pkt->pkt_len = pkt_size;\n \n@@ -1166,7 +1169,8 @@ bond_ethdev_tx_burst_alb(void *queue, struct rte_mbuf **bufs, uint16_t nb_pkts)\n #if defined(RTE_LIBRTE_BOND_DEBUG_ALB) || defined(RTE_LIBRTE_BOND_DEBUG_ALB_L1)\n \t/* Print TX stats including update packets */\n \t\t\tfor (j = 0; j < slave_bufs_pkts[i]; j++) {\n-\t\t\t\teth_h = rte_pktmbuf_mtod(slave_bufs[i][j], struct ether_hdr *);\n+\t\t\t\teth_h = rte_pktmbuf_mtod(slave_bufs[i][j],\n+\t\t\t\t\t\t\tstruct rte_ether_hdr *);\n \t\t\t\tmode6_debug(\"TX ARP:\", eth_h, i, &burstnumberTX);\n \t\t\t}\n #endif\n@@ -1183,7 +1187,8 @@ bond_ethdev_tx_burst_alb(void *queue, struct rte_mbuf **bufs, uint16_t nb_pkts)\n \t\t\t}\n #if defined(RTE_LIBRTE_BOND_DEBUG_ALB) || defined(RTE_LIBRTE_BOND_DEBUG_ALB_L1)\n \t\t\tfor (j = 0; j < update_bufs_pkts[i]; j++) {\n-\t\t\t\teth_h = rte_pktmbuf_mtod(update_bufs[i][j], struct ether_hdr *);\n+\t\t\t\teth_h = rte_pktmbuf_mtod(update_bufs[i][j],\n+\t\t\t\t\t\t\tstruct rte_ether_hdr *);\n \t\t\t\tmode6_debug(\"TX ARPupd:\", eth_h, i, &burstnumberTX);\n \t\t\t}\n #endif\n@@ -1501,9 +1506,10 @@ link_properties_valid(struct rte_eth_dev *ethdev,\n }\n \n int\n-mac_address_get(struct rte_eth_dev *eth_dev, struct ether_addr *dst_mac_addr)\n+mac_address_get(struct rte_eth_dev *eth_dev,\n+\t\tstruct rte_ether_addr *dst_mac_addr)\n {\n-\tstruct ether_addr *mac_addr;\n+\tstruct rte_ether_addr *mac_addr;\n \n \tif (eth_dev == NULL) {\n \t\tRTE_BOND_LOG(ERR, \"NULL pointer eth_dev specified\");\n@@ -1522,9 +1528,10 @@ mac_address_get(struct rte_eth_dev *eth_dev, struct ether_addr *dst_mac_addr)\n }\n \n int\n-mac_address_set(struct rte_eth_dev *eth_dev, struct ether_addr *new_mac_addr)\n+mac_address_set(struct rte_eth_dev *eth_dev,\n+\t\tstruct rte_ether_addr *new_mac_addr)\n {\n-\tstruct ether_addr *mac_addr;\n+\tstruct rte_ether_addr *mac_addr;\n \n \tif (eth_dev == NULL) {\n \t\tRTE_BOND_LOG(ERR, \"NULL pointer eth_dev specified\");\n@@ -1545,7 +1552,7 @@ mac_address_set(struct rte_eth_dev *eth_dev, struct ether_addr *new_mac_addr)\n \treturn 0;\n }\n \n-static const struct ether_addr null_mac_addr;\n+static const struct rte_ether_addr null_mac_addr;\n \n /*\n  * Add additional MAC addresses to the slave\n@@ -1555,7 +1562,7 @@ slave_add_mac_addresses(struct rte_eth_dev *bonded_eth_dev,\n \t\tuint16_t slave_port_id)\n {\n \tint i, ret;\n-\tstruct ether_addr *mac_addr;\n+\tstruct rte_ether_addr *mac_addr;\n \n \tfor (i = 1; i < BOND_MAX_MAC_ADDRS; i++) {\n \t\tmac_addr = &bonded_eth_dev->data->mac_addrs[i];\n@@ -1583,7 +1590,7 @@ slave_remove_mac_addresses(struct rte_eth_dev *bonded_eth_dev,\n \t\tuint16_t slave_port_id)\n {\n \tint i, rc, ret;\n-\tstruct ether_addr *mac_addr;\n+\tstruct rte_ether_addr *mac_addr;\n \n \trc = 0;\n \tfor (i = 1; i < BOND_MAX_MAC_ADDRS; i++) {\n@@ -2006,7 +2013,7 @@ slave_add(struct bond_dev_private *internals,\n \tslave_details->link_status_wait_to_complete = 0;\n \t/* clean tlb_last_obytes when adding port for bonding device */\n \tmemcpy(&(slave_details->persisted_mac_addr), slave_eth_dev->data->mac_addrs,\n-\t\t\tsizeof(struct ether_addr));\n+\t\t\tsizeof(struct rte_ether_addr));\n }\n \n void\n@@ -2052,7 +2059,7 @@ bond_ethdev_start(struct rte_eth_dev *eth_dev)\n \t}\n \n \tif (internals->user_defined_mac == 0) {\n-\t\tstruct ether_addr *new_mac_addr = NULL;\n+\t\tstruct rte_ether_addr *new_mac_addr = NULL;\n \n \t\tfor (i = 0; i < internals->slave_count; i++)\n \t\t\tif (internals->slaves[i].port_id == internals->primary_port)\n@@ -2938,7 +2945,8 @@ bond_ethdev_mtu_set(struct rte_eth_dev *dev, uint16_t mtu)\n }\n \n static int\n-bond_ethdev_mac_address_set(struct rte_eth_dev *dev, struct ether_addr *addr)\n+bond_ethdev_mac_address_set(struct rte_eth_dev *dev,\n+\t\t\tstruct rte_ether_addr *addr)\n {\n \tif (mac_address_set(dev, addr)) {\n \t\tRTE_BOND_LOG(ERR, \"Failed to update MAC address\");\n@@ -2960,8 +2968,9 @@ bond_filter_ctrl(struct rte_eth_dev *dev __rte_unused,\n }\n \n static int\n-bond_ethdev_mac_addr_add(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n-\t\t\t\t__rte_unused uint32_t index, uint32_t vmdq)\n+bond_ethdev_mac_addr_add(struct rte_eth_dev *dev,\n+\t\t\tstruct rte_ether_addr *mac_addr,\n+\t\t\t__rte_unused uint32_t index, uint32_t vmdq)\n {\n \tstruct rte_eth_dev *slave_eth_dev;\n \tstruct bond_dev_private *internals = dev->data->dev_private;\n@@ -3011,7 +3020,7 @@ bond_ethdev_mac_addr_remove(struct rte_eth_dev *dev, uint32_t index)\n \t\t\tgoto end;\n \t}\n \n-\tstruct ether_addr *mac_addr = &dev->data->mac_addrs[index];\n+\tstruct rte_ether_addr *mac_addr = &dev->data->mac_addrs[index];\n \n \tfor (i = 0; i < internals->slave_count; i++)\n \t\trte_eth_dev_mac_addr_remove(internals->slaves[i].port_id,\n@@ -3389,7 +3398,7 @@ bond_ethdev_configure(struct rte_eth_dev *dev)\n \t/* Parse MAC address for bonded device */\n \targ_count = rte_kvargs_count(kvlist, PMD_BOND_MAC_ADDR_KVARG);\n \tif (arg_count == 1) {\n-\t\tstruct ether_addr bond_mac;\n+\t\tstruct rte_ether_addr bond_mac;\n \n \t\tif (rte_kvargs_process(kvlist, PMD_BOND_MAC_ADDR_KVARG,\n \t\t\t\t       &bond_ethdev_parse_bond_mac_addr_kvarg, &bond_mac) < 0) {\ndiff --git a/drivers/net/bonding/rte_eth_bond_private.h b/drivers/net/bonding/rte_eth_bond_private.h\nindex 8afef39ba..55c0b227b 100644\n--- a/drivers/net/bonding/rte_eth_bond_private.h\n+++ b/drivers/net/bonding/rte_eth_bond_private.h\n@@ -85,7 +85,7 @@ struct bond_slave_details {\n \tuint8_t link_status_wait_to_complete;\n \tuint8_t last_link_status;\n \t/**< Port Id of slave eth_dev */\n-\tstruct ether_addr persisted_mac_addr;\n+\tstruct rte_ether_addr persisted_mac_addr;\n \n \tuint16_t reta_size;\n };\n@@ -223,10 +223,12 @@ void\n activate_slave(struct rte_eth_dev *eth_dev, uint16_t port_id);\n \n int\n-mac_address_set(struct rte_eth_dev *eth_dev, struct ether_addr *new_mac_addr);\n+mac_address_set(struct rte_eth_dev *eth_dev,\n+\t\tstruct rte_ether_addr *new_mac_addr);\n \n int\n-mac_address_get(struct rte_eth_dev *eth_dev, struct ether_addr *dst_mac_addr);\n+mac_address_get(struct rte_eth_dev *eth_dev,\n+\t\tstruct rte_ether_addr *dst_mac_addr);\n \n int\n mac_address_slaves_update(struct rte_eth_dev *bonded_eth_dev);\ndiff --git a/drivers/net/cxgbe/base/adapter.h b/drivers/net/cxgbe/base/adapter.h\nindex fb8af5250..b54f75ebc 100644\n--- a/drivers/net/cxgbe/base/adapter.h\n+++ b/drivers/net/cxgbe/base/adapter.h\n@@ -671,7 +671,7 @@ static inline void t4_os_set_hw_addr(struct adapter *adapter, int port_idx,\n {\n \tstruct port_info *pi = adap2pinfo(adapter, port_idx);\n \n-\tether_addr_copy((struct ether_addr *)hw_addr,\n+\tether_addr_copy((struct rte_ether_addr *)hw_addr,\n \t\t\t&pi->eth_dev->data->mac_addrs[0]);\n }\n \ndiff --git a/drivers/net/cxgbe/cxgbe_ethdev.c b/drivers/net/cxgbe/cxgbe_ethdev.c\nindex 01d256da0..e36936959 100644\n--- a/drivers/net/cxgbe/cxgbe_ethdev.c\n+++ b/drivers/net/cxgbe/cxgbe_ethdev.c\n@@ -1056,7 +1056,7 @@ static int cxgbe_get_regs(struct rte_eth_dev *eth_dev,\n \treturn 0;\n }\n \n-int cxgbe_mac_addr_set(struct rte_eth_dev *dev, struct ether_addr *addr)\n+int cxgbe_mac_addr_set(struct rte_eth_dev *dev, struct rte_ether_addr *addr)\n {\n \tstruct port_info *pi = (struct port_info *)(dev->data->dev_private);\n \tint ret;\ndiff --git a/drivers/net/cxgbe/cxgbe_pfvf.h b/drivers/net/cxgbe/cxgbe_pfvf.h\nindex 8d0a105aa..03145cea6 100644\n--- a/drivers/net/cxgbe/cxgbe_pfvf.h\n+++ b/drivers/net/cxgbe/cxgbe_pfvf.h\n@@ -16,7 +16,7 @@ void cxgbe_dev_promiscuous_enable(struct rte_eth_dev *eth_dev);\n void cxgbe_dev_promiscuous_disable(struct rte_eth_dev *eth_dev);\n void cxgbe_dev_allmulticast_enable(struct rte_eth_dev *eth_dev);\n void cxgbe_dev_allmulticast_disable(struct rte_eth_dev *eth_dev);\n-int cxgbe_mac_addr_set(struct rte_eth_dev *dev, struct ether_addr *addr);\n+int cxgbe_mac_addr_set(struct rte_eth_dev *dev, struct rte_ether_addr *addr);\n int cxgbe_dev_configure(struct rte_eth_dev *eth_dev);\n int cxgbe_dev_tx_queue_setup(struct rte_eth_dev *eth_dev, uint16_t queue_idx,\n \t\t\t     uint16_t nb_desc, unsigned int socket_id,\ndiff --git a/drivers/net/dpaa/dpaa_ethdev.c b/drivers/net/dpaa/dpaa_ethdev.c\nindex 2e043feb2..0e1dc1ae8 100644\n--- a/drivers/net/dpaa/dpaa_ethdev.c\n+++ b/drivers/net/dpaa/dpaa_ethdev.c\n@@ -934,7 +934,7 @@ dpaa_flow_ctrl_get(struct rte_eth_dev *dev,\n \n static int\n dpaa_dev_add_mac_addr(struct rte_eth_dev *dev,\n-\t\t\t     struct ether_addr *addr,\n+\t\t\t     struct rte_ether_addr *addr,\n \t\t\t     uint32_t index,\n \t\t\t     __rte_unused uint32_t pool)\n {\n@@ -964,7 +964,7 @@ dpaa_dev_remove_mac_addr(struct rte_eth_dev *dev,\n \n static int\n dpaa_dev_set_mac_addr(struct rte_eth_dev *dev,\n-\t\t       struct ether_addr *addr)\n+\t\t       struct rte_ether_addr *addr)\n {\n \tint ret;\n \tstruct dpaa_if *dpaa_intf = dev->data->dev_private;\ndiff --git a/drivers/net/dpaa/dpaa_rxtx.c b/drivers/net/dpaa/dpaa_rxtx.c\nindex a4085f47e..7f174f7fb 100644\n--- a/drivers/net/dpaa/dpaa_rxtx.c\n+++ b/drivers/net/dpaa/dpaa_rxtx.c\n@@ -198,7 +198,8 @@ static inline void dpaa_eth_packet_info(struct rte_mbuf *m, void *fd_virt_addr)\n \n static inline void dpaa_checksum(struct rte_mbuf *mbuf)\n {\n-\tstruct ether_hdr *eth_hdr = rte_pktmbuf_mtod(mbuf, struct ether_hdr *);\n+\tstruct rte_ether_hdr *eth_hdr =\n+\t\trte_pktmbuf_mtod(mbuf, struct rte_ether_hdr *);\n \tchar *l3_hdr = (char *)eth_hdr + mbuf->l2_len;\n \tstruct ipv4_hdr *ipv4_hdr = (struct ipv4_hdr *)l3_hdr;\n \tstruct ipv6_hdr *ipv6_hdr = (struct ipv6_hdr *)l3_hdr;\ndiff --git a/drivers/net/dpaa2/dpaa2_ethdev.c b/drivers/net/dpaa2/dpaa2_ethdev.c\nindex 900182f66..ae4d7e1cc 100644\n--- a/drivers/net/dpaa2/dpaa2_ethdev.c\n+++ b/drivers/net/dpaa2/dpaa2_ethdev.c\n@@ -1124,7 +1124,7 @@ dpaa2_dev_mtu_set(struct rte_eth_dev *dev, uint16_t mtu)\n \n static int\n dpaa2_dev_add_mac_addr(struct rte_eth_dev *dev,\n-\t\t       struct ether_addr *addr,\n+\t\t       struct rte_ether_addr *addr,\n \t\t       __rte_unused uint32_t index,\n \t\t       __rte_unused uint32_t pool)\n {\n@@ -1155,7 +1155,7 @@ dpaa2_dev_remove_mac_addr(struct rte_eth_dev *dev,\n \tstruct dpaa2_dev_priv *priv = dev->data->dev_private;\n \tstruct fsl_mc_io *dpni = (struct fsl_mc_io *)priv->hw;\n \tstruct rte_eth_dev_data *data = dev->data;\n-\tstruct ether_addr *macaddr;\n+\tstruct rte_ether_addr *macaddr;\n \n \tPMD_INIT_FUNC_TRACE();\n \n@@ -1175,7 +1175,7 @@ dpaa2_dev_remove_mac_addr(struct rte_eth_dev *dev,\n \n static int\n dpaa2_dev_set_mac_addr(struct rte_eth_dev *dev,\n-\t\t       struct ether_addr *addr)\n+\t\t       struct rte_ether_addr *addr)\n {\n \tint ret;\n \tstruct dpaa2_dev_priv *priv = dev->data->dev_private;\n@@ -1992,13 +1992,13 @@ static struct eth_dev_ops dpaa2_ethdev_ops = {\n  */\n static int\n populate_mac_addr(struct fsl_mc_io *dpni_dev, struct dpaa2_dev_priv *priv,\n-\t\t  struct ether_addr *mac_entry)\n+\t\t  struct rte_ether_addr *mac_entry)\n {\n \tint ret;\n-\tstruct ether_addr phy_mac, prime_mac;\n+\tstruct rte_ether_addr phy_mac, prime_mac;\n \n-\tmemset(&phy_mac, 0, sizeof(struct ether_addr));\n-\tmemset(&prime_mac, 0, sizeof(struct ether_addr));\n+\tmemset(&phy_mac, 0, sizeof(struct rte_ether_addr));\n+\tmemset(&prime_mac, 0, sizeof(struct rte_ether_addr));\n \n \t/* Get the physical device MAC address */\n \tret = dpni_get_port_mac_addr(dpni_dev, CMD_PRI_LOW, priv->token,\n@@ -2032,7 +2032,8 @@ populate_mac_addr(struct fsl_mc_io *dpni_dev, struct dpaa2_dev_priv *priv,\n \t\t\t\t\t      ret);\n \t\t\t\tgoto cleanup;\n \t\t\t}\n-\t\t\tmemcpy(&prime_mac, &phy_mac, sizeof(struct ether_addr));\n+\t\t\tmemcpy(&prime_mac, &phy_mac,\n+\t\t\t\tsizeof(struct rte_ether_addr));\n \t\t}\n \t} else if (is_zero_ether_addr(&prime_mac)) {\n \t\t/* In case phys and prime, both are zero, create random MAC */\n@@ -2047,7 +2048,7 @@ populate_mac_addr(struct fsl_mc_io *dpni_dev, struct dpaa2_dev_priv *priv,\n \t}\n \n \t/* prime_mac the final MAC address */\n-\tmemcpy(mac_entry, &prime_mac, sizeof(struct ether_addr));\n+\tmemcpy(mac_entry, &prime_mac, sizeof(struct rte_ether_addr));\n \treturn 0;\n \n cleanup:\ndiff --git a/drivers/net/dpaa2/dpaa2_flow.c b/drivers/net/dpaa2/dpaa2_flow.c\nindex 9ef46d47e..572eb8462 100644\n--- a/drivers/net/dpaa2/dpaa2_flow.c\n+++ b/drivers/net/dpaa2/dpaa2_flow.c\n@@ -214,27 +214,27 @@ dpaa2_configure_flow_eth(struct rte_flow *flow,\n \t/* Key rule */\n \tkey_iova = flow->rule.key_iova + DPAA2_CLS_RULE_OFFSET_ETH;\n \tmemcpy((void *)key_iova, (const void *)(spec->src.addr_bytes),\n-\t\t\t\t\t\tsizeof(struct ether_addr));\n-\tkey_iova += sizeof(struct ether_addr);\n+\t\t\t\t\t\tsizeof(struct rte_ether_addr));\n+\tkey_iova += sizeof(struct rte_ether_addr);\n \tmemcpy((void *)key_iova, (const void *)(spec->dst.addr_bytes),\n-\t\t\t\t\t\tsizeof(struct ether_addr));\n-\tkey_iova += sizeof(struct ether_addr);\n+\t\t\t\t\t\tsizeof(struct rte_ether_addr));\n+\tkey_iova += sizeof(struct rte_ether_addr);\n \tmemcpy((void *)key_iova, (const void *)(&spec->type),\n \t\t\t\t\t\tsizeof(rte_be16_t));\n \n \t/* Key mask */\n \tmask_iova = flow->rule.mask_iova + DPAA2_CLS_RULE_OFFSET_ETH;\n \tmemcpy((void *)mask_iova, (const void *)(mask->src.addr_bytes),\n-\t\t\t\t\t\tsizeof(struct ether_addr));\n-\tmask_iova += sizeof(struct ether_addr);\n+\t\t\t\t\t\tsizeof(struct rte_ether_addr));\n+\tmask_iova += sizeof(struct rte_ether_addr);\n \tmemcpy((void *)mask_iova, (const void *)(mask->dst.addr_bytes),\n-\t\t\t\t\t\tsizeof(struct ether_addr));\n-\tmask_iova += sizeof(struct ether_addr);\n+\t\t\t\t\t\tsizeof(struct rte_ether_addr));\n+\tmask_iova += sizeof(struct rte_ether_addr);\n \tmemcpy((void *)mask_iova, (const void *)(&mask->type),\n \t\t\t\t\t\tsizeof(rte_be16_t));\n \n \tflow->rule.key_size = (DPAA2_CLS_RULE_OFFSET_ETH +\n-\t\t\t\t((2  * sizeof(struct ether_addr)) +\n+\t\t\t\t((2  * sizeof(struct rte_ether_addr)) +\n \t\t\t\tsizeof(rte_be16_t)));\n \treturn device_configured;\n }\ndiff --git a/drivers/net/e1000/em_ethdev.c b/drivers/net/e1000/em_ethdev.c\nindex 8230824e7..b2fdb5e10 100644\n--- a/drivers/net/e1000/em_ethdev.c\n+++ b/drivers/net/e1000/em_ethdev.c\n@@ -89,14 +89,15 @@ static int eth_em_led_on(struct rte_eth_dev *dev);\n static int eth_em_led_off(struct rte_eth_dev *dev);\n \n static int em_get_rx_buffer_size(struct e1000_hw *hw);\n-static int eth_em_rar_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n-\t\t\t  uint32_t index, uint32_t pool);\n+static int eth_em_rar_set(struct rte_eth_dev *dev,\n+\t\t\tstruct rte_ether_addr *mac_addr,\n+\t\t\tuint32_t index, uint32_t pool);\n static void eth_em_rar_clear(struct rte_eth_dev *dev, uint32_t index);\n static int eth_em_default_mac_addr_set(struct rte_eth_dev *dev,\n-\t\t\t\t\t struct ether_addr *addr);\n+\t\t\t\t\t struct rte_ether_addr *addr);\n \n static int eth_em_set_mc_addr_list(struct rte_eth_dev *dev,\n-\t\t\t\t   struct ether_addr *mc_addr_set,\n+\t\t\t\t   struct rte_ether_addr *mc_addr_set,\n \t\t\t\t   uint32_t nb_mc_addr);\n \n #define EM_FC_PAUSE_TIME 0x0680\n@@ -293,7 +294,7 @@ eth_em_dev_init(struct rte_eth_dev *eth_dev)\n \t}\n \n \t/* Copy the permanent MAC address */\n-\tether_addr_copy((struct ether_addr *) hw->mac.addr,\n+\tether_addr_copy((struct rte_ether_addr *)hw->mac.addr,\n \t\teth_dev->data->mac_addrs);\n \n \t/* initialize the vfta */\n@@ -1735,7 +1736,7 @@ eth_em_flow_ctrl_set(struct rte_eth_dev *dev, struct rte_eth_fc_conf *fc_conf)\n }\n \n static int\n-eth_em_rar_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n+eth_em_rar_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr,\n \t\tuint32_t index, __rte_unused uint32_t pool)\n {\n \tstruct e1000_hw *hw = E1000_DEV_PRIVATE_TO_HW(dev->data->dev_private);\n@@ -1756,7 +1757,7 @@ eth_em_rar_clear(struct rte_eth_dev *dev, uint32_t index)\n \n static int\n eth_em_default_mac_addr_set(struct rte_eth_dev *dev,\n-\t\t\t    struct ether_addr *addr)\n+\t\t\t    struct rte_ether_addr *addr)\n {\n \teth_em_rar_clear(dev, 0);\n \n@@ -1806,7 +1807,7 @@ eth_em_mtu_set(struct rte_eth_dev *dev, uint16_t mtu)\n \n static int\n eth_em_set_mc_addr_list(struct rte_eth_dev *dev,\n-\t\t\tstruct ether_addr *mc_addr_set,\n+\t\t\tstruct rte_ether_addr *mc_addr_set,\n \t\t\tuint32_t nb_mc_addr)\n {\n \tstruct e1000_hw *hw;\ndiff --git a/drivers/net/e1000/igb_ethdev.c b/drivers/net/e1000/igb_ethdev.c\nindex d3a8f5bf4..e21a772fb 100644\n--- a/drivers/net/e1000/igb_ethdev.c\n+++ b/drivers/net/e1000/igb_ethdev.c\n@@ -145,11 +145,11 @@ static int eth_igb_led_off(struct rte_eth_dev *dev);\n static void igb_intr_disable(struct rte_eth_dev *dev);\n static int  igb_get_rx_buffer_size(struct e1000_hw *hw);\n static int eth_igb_rar_set(struct rte_eth_dev *dev,\n-\t\t\t   struct ether_addr *mac_addr,\n+\t\t\t   struct rte_ether_addr *mac_addr,\n \t\t\t   uint32_t index, uint32_t pool);\n static void eth_igb_rar_clear(struct rte_eth_dev *dev, uint32_t index);\n static int eth_igb_default_mac_addr_set(struct rte_eth_dev *dev,\n-\t\tstruct ether_addr *addr);\n+\t\tstruct rte_ether_addr *addr);\n \n static void igbvf_intr_disable(struct e1000_hw *hw);\n static int igbvf_dev_configure(struct rte_eth_dev *dev);\n@@ -174,7 +174,7 @@ static int igbvf_vlan_filter_set(struct rte_eth_dev *dev,\n static int igbvf_set_vfta(struct e1000_hw *hw, uint16_t vid, bool on);\n static void igbvf_set_vfta_all(struct rte_eth_dev *dev, bool on);\n static int igbvf_default_mac_addr_set(struct rte_eth_dev *dev,\n-\t\tstruct ether_addr *addr);\n+\t\tstruct rte_ether_addr *addr);\n static int igbvf_get_reg_length(struct rte_eth_dev *dev);\n static int igbvf_get_regs(struct rte_eth_dev *dev,\n \t\tstruct rte_dev_reg_info *regs);\n@@ -231,7 +231,7 @@ static int eth_igb_get_module_info(struct rte_eth_dev *dev,\n static int eth_igb_get_module_eeprom(struct rte_eth_dev *dev,\n \t\t\t\t     struct rte_dev_eeprom_info *info);\n static int eth_igb_set_mc_addr_list(struct rte_eth_dev *dev,\n-\t\t\t\t    struct ether_addr *mc_addr_set,\n+\t\t\t\t    struct rte_ether_addr *mc_addr_set,\n \t\t\t\t    uint32_t nb_mc_addr);\n static int igb_timesync_enable(struct rte_eth_dev *dev);\n static int igb_timesync_disable(struct rte_eth_dev *dev);\n@@ -840,7 +840,8 @@ eth_igb_dev_init(struct rte_eth_dev *eth_dev)\n \t}\n \n \t/* Copy the permanent MAC address */\n-\tether_addr_copy((struct ether_addr *)hw->mac.addr, &eth_dev->data->mac_addrs[0]);\n+\tether_addr_copy((struct rte_ether_addr *)hw->mac.addr,\n+\t\t\t&eth_dev->data->mac_addrs[0]);\n \n \t/* initialize the vfta */\n \tmemset(shadow_vfta, 0, sizeof(*shadow_vfta));\n@@ -983,7 +984,8 @@ eth_igbvf_dev_init(struct rte_eth_dev *eth_dev)\n \tstruct e1000_hw *hw =\n \t\tE1000_DEV_PRIVATE_TO_HW(eth_dev->data->dev_private);\n \tint diag;\n-\tstruct ether_addr *perm_addr = (struct ether_addr *)hw->mac.perm_addr;\n+\tstruct rte_ether_addr *perm_addr =\n+\t\t(struct rte_ether_addr *)hw->mac.perm_addr;\n \n \tPMD_INIT_FUNC_TRACE();\n \n@@ -1057,7 +1059,7 @@ eth_igbvf_dev_init(struct rte_eth_dev *eth_dev)\n \t\treturn diag;\n \t}\n \t/* Copy the permanent MAC address */\n-\tether_addr_copy((struct ether_addr *) hw->mac.perm_addr,\n+\tether_addr_copy((struct rte_ether_addr *)hw->mac.perm_addr,\n \t\t\t&eth_dev->data->mac_addrs[0]);\n \n \tPMD_INIT_LOG(DEBUG, \"port %d vendorID=0x%x deviceID=0x%x \"\n@@ -3119,7 +3121,7 @@ eth_igb_flow_ctrl_set(struct rte_eth_dev *dev, struct rte_eth_fc_conf *fc_conf)\n \n #define E1000_RAH_POOLSEL_SHIFT      (18)\n static int\n-eth_igb_rar_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n+eth_igb_rar_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr,\n \t\tuint32_t index, uint32_t pool)\n {\n \tstruct e1000_hw *hw = E1000_DEV_PRIVATE_TO_HW(dev->data->dev_private);\n@@ -3145,7 +3147,7 @@ eth_igb_rar_clear(struct rte_eth_dev *dev, uint32_t index)\n \n static int\n eth_igb_default_mac_addr_set(struct rte_eth_dev *dev,\n-\t\t\t\tstruct ether_addr *addr)\n+\t\t\t\tstruct rte_ether_addr *addr)\n {\n \teth_igb_rar_clear(dev, 0);\n \teth_igb_rar_set(dev, (void *)addr, 0, 0);\n@@ -3358,7 +3360,7 @@ igbvf_dev_close(struct rte_eth_dev *dev)\n \tstruct e1000_hw *hw = E1000_DEV_PRIVATE_TO_HW(dev->data->dev_private);\n \tstruct e1000_adapter *adapter =\n \t\tE1000_DEV_PRIVATE(dev->data->dev_private);\n-\tstruct ether_addr addr;\n+\tstruct rte_ether_addr addr;\n \n \tPMD_INIT_FUNC_TRACE();\n \n@@ -3503,7 +3505,7 @@ igbvf_vlan_filter_set(struct rte_eth_dev *dev, uint16_t vlan_id, int on)\n }\n \n static int\n-igbvf_default_mac_addr_set(struct rte_eth_dev *dev, struct ether_addr *addr)\n+igbvf_default_mac_addr_set(struct rte_eth_dev *dev, struct rte_ether_addr *addr)\n {\n \tstruct e1000_hw *hw =\n \t\tE1000_DEV_PRIVATE_TO_HW(dev->data->dev_private);\n@@ -4905,7 +4907,7 @@ eth_igb_filter_ctrl(struct rte_eth_dev *dev,\n \n static int\n eth_igb_set_mc_addr_list(struct rte_eth_dev *dev,\n-\t\t\t struct ether_addr *mc_addr_set,\n+\t\t\t struct rte_ether_addr *mc_addr_set,\n \t\t\t uint32_t nb_mc_addr)\n {\n \tstruct e1000_hw *hw;\ndiff --git a/drivers/net/e1000/igb_pf.c b/drivers/net/e1000/igb_pf.c\nindex b9f2e5391..9f1521350 100644\n--- a/drivers/net/e1000/igb_pf.c\n+++ b/drivers/net/e1000/igb_pf.c\n@@ -306,8 +306,8 @@ igb_vf_set_mac_addr(struct rte_eth_dev *dev, uint32_t vf, uint32_t *msgbuf)\n \tuint8_t *new_mac = (uint8_t *)(&msgbuf[1]);\n \tint rah;\n \n-\tif (is_unicast_ether_addr((struct ether_addr *)new_mac)) {\n-\t\tif (!is_zero_ether_addr((struct ether_addr *)new_mac))\n+\tif (is_unicast_ether_addr((struct rte_ether_addr *)new_mac)) {\n+\t\tif (!is_zero_ether_addr((struct rte_ether_addr *)new_mac))\n \t\t\trte_memcpy(vfinfo[vf].vf_mac_addresses, new_mac,\n \t\t\t\tsizeof(vfinfo[vf].vf_mac_addresses));\n \t\thw->mac.ops.rar_set(hw, new_mac, rar_entry);\ndiff --git a/drivers/net/ena/ena_ethdev.c b/drivers/net/ena/ena_ethdev.c\nindex 3eb38165c..1d832f91f 100644\n--- a/drivers/net/ena/ena_ethdev.c\n+++ b/drivers/net/ena/ena_ethdev.c\n@@ -1813,9 +1813,9 @@ static int eth_ena_dev_init(struct rte_eth_dev *eth_dev)\n \t\tENA_ADMIN_FEATURE_OFFLOAD_DESC_RX_L4_IPV4_CSUM_MASK) != 0;\n \n \t/* Copy MAC address and point DPDK to it */\n-\teth_dev->data->mac_addrs = (struct ether_addr *)adapter->mac_addr;\n-\tether_addr_copy((struct ether_addr *)get_feat_ctx.dev_attr.mac_addr,\n-\t\t\t(struct ether_addr *)adapter->mac_addr);\n+\teth_dev->data->mac_addrs = (struct rte_ether_addr *)adapter->mac_addr;\n+\tether_addr_copy((struct rte_ether_addr *)get_feat_ctx.dev_attr.mac_addr,\n+\t\t\t(struct rte_ether_addr *)adapter->mac_addr);\n \n \t/*\n \t * Pass the information to the rte_eth_dev_close() that it should also\n@@ -2151,7 +2151,7 @@ eth_ena_prep_pkts(void *tx_queue, struct rte_mbuf **tx_pkts,\n \t\t * length of the ethernet header.\n \t\t */\n \t\tif (unlikely(m->l2_len == 0))\n-\t\t\tm->l2_len = sizeof(struct ether_hdr);\n+\t\t\tm->l2_len = sizeof(struct rte_ether_hdr);\n \n \t\tip_hdr = rte_pktmbuf_mtod_offset(m, struct ipv4_hdr *,\n \t\t\t\t\t\t m->l2_len);\ndiff --git a/drivers/net/enetc/enetc_ethdev.c b/drivers/net/enetc/enetc_ethdev.c\nindex 362e0740c..2f473358d 100644\n--- a/drivers/net/enetc/enetc_ethdev.c\n+++ b/drivers/net/enetc/enetc_ethdev.c\n@@ -840,7 +840,7 @@ enetc_dev_init(struct rte_eth_dev *eth_dev)\n \t}\n \n \t/* Copy the permanent MAC address */\n-\tether_addr_copy((struct ether_addr *)hw->mac.addr,\n+\tether_addr_copy((struct rte_ether_addr *)hw->mac.addr,\n \t\t\t&eth_dev->data->mac_addrs[0]);\n \n \t/* Set MTU */\ndiff --git a/drivers/net/enic/enic.h b/drivers/net/enic/enic.h\nindex 9193fb038..20080af6f 100644\n--- a/drivers/net/enic/enic.h\n+++ b/drivers/net/enic/enic.h\n@@ -197,7 +197,7 @@ struct enic {\n \n \t/* Multicast MAC addresses added to the NIC */\n \tuint32_t mc_count;\n-\tstruct ether_addr mc_addrs[ENIC_MULTICAST_PERFECT_FILTERS];\n+\tstruct rte_ether_addr mc_addrs[ENIC_MULTICAST_PERFECT_FILTERS];\n };\n \n /* Compute ethdev's max packet size from MTU */\ndiff --git a/drivers/net/enic/enic_ethdev.c b/drivers/net/enic/enic_ethdev.c\nindex 8d14d8ac7..71e1b9c7d 100644\n--- a/drivers/net/enic/enic_ethdev.c\n+++ b/drivers/net/enic/enic_ethdev.c\n@@ -604,7 +604,7 @@ static void enicpmd_dev_allmulticast_disable(struct rte_eth_dev *eth_dev)\n }\n \n static int enicpmd_add_mac_addr(struct rte_eth_dev *eth_dev,\n-\tstruct ether_addr *mac_addr,\n+\tstruct rte_ether_addr *mac_addr,\n \t__rte_unused uint32_t index, __rte_unused uint32_t pool)\n {\n \tstruct enic *enic = pmd_priv(eth_dev);\n@@ -629,7 +629,7 @@ static void enicpmd_remove_mac_addr(struct rte_eth_dev *eth_dev, uint32_t index)\n }\n \n static int enicpmd_set_mac_addr(struct rte_eth_dev *eth_dev,\n-\t\t\t\tstruct ether_addr *addr)\n+\t\t\t\tstruct rte_ether_addr *addr)\n {\n \tstruct enic *enic = pmd_priv(eth_dev);\n \tint ret;\n@@ -644,7 +644,7 @@ static int enicpmd_set_mac_addr(struct rte_eth_dev *eth_dev,\n \treturn enic_set_mac_address(enic, addr->addr_bytes);\n }\n \n-static void debug_log_add_del_addr(struct ether_addr *addr, bool add)\n+static void debug_log_add_del_addr(struct rte_ether_addr *addr, bool add)\n {\n \tchar mac_str[ETHER_ADDR_FMT_SIZE];\n \n@@ -654,12 +654,12 @@ static void debug_log_add_del_addr(struct ether_addr *addr, bool add)\n }\n \n static int enicpmd_set_mc_addr_list(struct rte_eth_dev *eth_dev,\n-\t\t\t\t    struct ether_addr *mc_addr_set,\n+\t\t\t\t    struct rte_ether_addr *mc_addr_set,\n \t\t\t\t    uint32_t nb_mc_addr)\n {\n \tstruct enic *enic = pmd_priv(eth_dev);\n \tchar mac_str[ETHER_ADDR_FMT_SIZE];\n-\tstruct ether_addr *addr;\n+\tstruct rte_ether_addr *addr;\n \tuint32_t i, j;\n \tint ret;\n \n@@ -730,7 +730,7 @@ static int enicpmd_set_mc_addr_list(struct rte_eth_dev *eth_dev,\n \t}\n \t/* Keep a copy so we can flush/apply later on.. */\n \tmemcpy(enic->mc_addrs, mc_addr_set,\n-\t       nb_mc_addr * sizeof(struct ether_addr));\n+\t       nb_mc_addr * sizeof(struct rte_ether_addr));\n \tenic->mc_count = nb_mc_addr;\n \treturn 0;\n }\ndiff --git a/drivers/net/enic/enic_flow.c b/drivers/net/enic/enic_flow.c\nindex 511c177f4..9bddfb941 100644\n--- a/drivers/net/enic/enic_flow.c\n+++ b/drivers/net/enic/enic_flow.c\n@@ -572,9 +572,9 @@ enic_copy_item_inner_eth_v2(struct copy_item_args *arg)\n \tFLOW_TRACE();\n \tif (!mask)\n \t\tmask = &rte_flow_item_eth_mask;\n-\targ->l2_proto_off = *off + offsetof(struct ether_hdr, ether_type);\n+\targ->l2_proto_off = *off + offsetof(struct rte_ether_hdr, ether_type);\n \treturn copy_inner_common(&arg->filter->u.generic_1, off,\n-\t\targ->item->spec, mask, sizeof(struct ether_hdr),\n+\t\targ->item->spec, mask, sizeof(struct rte_ether_hdr),\n \t\t0 /* no previous protocol */, 0, 0);\n }\n \n@@ -590,9 +590,9 @@ enic_copy_item_inner_vlan_v2(struct copy_item_args *arg)\n \t\tmask = &rte_flow_item_vlan_mask;\n \t/* Append vlan header to L5 and set ether type = TPID */\n \teth_type_off = arg->l2_proto_off;\n-\targ->l2_proto_off = *off + offsetof(struct vlan_hdr, eth_proto);\n+\targ->l2_proto_off = *off + offsetof(struct rte_vlan_hdr, eth_proto);\n \treturn copy_inner_common(&arg->filter->u.generic_1, off,\n-\t\targ->item->spec, mask, sizeof(struct vlan_hdr),\n+\t\targ->item->spec, mask, sizeof(struct rte_vlan_hdr),\n \t\teth_type_off, rte_cpu_to_be_16(ETHER_TYPE_VLAN), 2);\n }\n \n@@ -663,8 +663,8 @@ enic_copy_item_eth_v2(struct copy_item_args *arg)\n {\n \tconst struct rte_flow_item *item = arg->item;\n \tstruct filter_v2 *enic_filter = arg->filter;\n-\tstruct ether_hdr enic_spec;\n-\tstruct ether_hdr enic_mask;\n+\tstruct rte_ether_hdr enic_spec;\n+\tstruct rte_ether_hdr enic_mask;\n \tconst struct rte_flow_item_eth *spec = item->spec;\n \tconst struct rte_flow_item_eth *mask = item->mask;\n \tstruct filter_generic_1 *gp = &enic_filter->u.generic_1;\n@@ -692,9 +692,9 @@ enic_copy_item_eth_v2(struct copy_item_args *arg)\n \n \t/* outer header */\n \tmemcpy(gp->layer[FILTER_GENERIC_1_L2].mask, &enic_mask,\n-\t       sizeof(struct ether_hdr));\n+\t       sizeof(struct rte_ether_hdr));\n \tmemcpy(gp->layer[FILTER_GENERIC_1_L2].val, &enic_spec,\n-\t       sizeof(struct ether_hdr));\n+\t       sizeof(struct rte_ether_hdr));\n \treturn 0;\n }\n \n@@ -706,8 +706,8 @@ enic_copy_item_vlan_v2(struct copy_item_args *arg)\n \tconst struct rte_flow_item_vlan *spec = item->spec;\n \tconst struct rte_flow_item_vlan *mask = item->mask;\n \tstruct filter_generic_1 *gp = &enic_filter->u.generic_1;\n-\tstruct ether_hdr *eth_mask;\n-\tstruct ether_hdr *eth_val;\n+\tstruct rte_ether_hdr *eth_mask;\n+\tstruct rte_ether_hdr *eth_val;\n \n \tFLOW_TRACE();\n \n@@ -734,11 +734,11 @@ enic_copy_item_vlan_v2(struct copy_item_args *arg)\n \t * vlan tag remains in the L2 buffer.\n \t */\n \tif (!arg->enic->vxlan && !arg->enic->ig_vlan_strip_en) {\n-\t\tstruct vlan_hdr *vlan;\n+\t\tstruct rte_vlan_hdr *vlan;\n \n-\t\tvlan = (struct vlan_hdr *)(eth_mask + 1);\n+\t\tvlan = (struct rte_vlan_hdr *)(eth_mask + 1);\n \t\tvlan->eth_proto = mask->inner_type;\n-\t\tvlan = (struct vlan_hdr *)(eth_val + 1);\n+\t\tvlan = (struct rte_vlan_hdr *)(eth_val + 1);\n \t\tvlan->eth_proto = spec->inner_type;\n \t} else {\n \t\teth_mask->ether_type = mask->inner_type;\n@@ -947,11 +947,11 @@ enic_copy_item_vxlan_v2(struct copy_item_args *arg)\n \t\tmask = &rte_flow_item_vxlan_mask;\n \n \tmemcpy(gp->layer[FILTER_GENERIC_1_L5].mask, mask,\n-\t       sizeof(struct vxlan_hdr));\n+\t       sizeof(struct rte_vxlan_hdr));\n \tmemcpy(gp->layer[FILTER_GENERIC_1_L5].val, spec,\n-\t       sizeof(struct vxlan_hdr));\n+\t       sizeof(struct rte_vxlan_hdr));\n \n-\t*inner_ofst = sizeof(struct vxlan_hdr);\n+\t*inner_ofst = sizeof(struct rte_vxlan_hdr);\n \treturn 0;\n }\n \n@@ -1051,7 +1051,7 @@ fixup_l5_layer(struct enic *enic, struct filter_generic_1 *gp,\n \tif (!(inner_ofst > 0 && enic->vxlan))\n \t\treturn;\n \tFLOW_TRACE();\n-\tvxlan = sizeof(struct vxlan_hdr);\n+\tvxlan = sizeof(struct rte_vxlan_hdr);\n \tmemcpy(gp->layer[FILTER_GENERIC_1_L4].mask + sizeof(struct udp_hdr),\n \t       gp->layer[FILTER_GENERIC_1_L5].mask, vxlan);\n \tmemcpy(gp->layer[FILTER_GENERIC_1_L4].val + sizeof(struct udp_hdr),\ndiff --git a/drivers/net/enic/enic_main.c b/drivers/net/enic/enic_main.c\nindex ea9eb2edf..6b7683914 100644\n--- a/drivers/net/enic/enic_main.c\n+++ b/drivers/net/enic/enic_main.c\n@@ -1667,13 +1667,13 @@ static int enic_dev_init(struct enic *enic)\n \tenic_fdir_info(enic);\n \n \teth_dev->data->mac_addrs = rte_zmalloc(\"enic_mac_addr\",\n-\t\t\t\t\tsizeof(struct ether_addr) *\n+\t\t\t\t\tsizeof(struct rte_ether_addr) *\n \t\t\t\t\tENIC_UNICAST_PERFECT_FILTERS, 0);\n \tif (!eth_dev->data->mac_addrs) {\n \t\tdev_err(enic, \"mac addr storage alloc failed, aborting.\\n\");\n \t\treturn -1;\n \t}\n-\tether_addr_copy((struct ether_addr *) enic->mac_addr,\n+\tether_addr_copy((struct rte_ether_addr *)enic->mac_addr,\n \t\t\teth_dev->data->mac_addrs);\n \n \tvnic_dev_set_reset_flag(enic->vdev, 0);\ndiff --git a/drivers/net/failsafe/failsafe.c b/drivers/net/failsafe/failsafe.c\nindex 42dfaca30..8f7d91169 100644\n--- a/drivers/net/failsafe/failsafe.c\n+++ b/drivers/net/failsafe/failsafe.c\n@@ -166,7 +166,7 @@ static int\n fs_eth_dev_create(struct rte_vdev_device *vdev)\n {\n \tstruct rte_eth_dev *dev;\n-\tstruct ether_addr *mac;\n+\tstruct rte_ether_addr *mac;\n \tstruct fs_priv *priv;\n \tstruct sub_device *sdev;\n \tconst char *params;\ndiff --git a/drivers/net/failsafe/failsafe_args.c b/drivers/net/failsafe/failsafe_args.c\nindex 3351c5bca..5fc6be403 100644\n--- a/drivers/net/failsafe/failsafe_args.c\n+++ b/drivers/net/failsafe/failsafe_args.c\n@@ -367,7 +367,7 @@ static int\n fs_get_mac_addr_arg(const char *key __rte_unused,\n \t\tconst char *value, void *out)\n {\n-\tstruct ether_addr *ea = out;\n+\tstruct rte_ether_addr *ea = out;\n \tint ret;\n \n \tif ((value == NULL) || (out == NULL))\ndiff --git a/drivers/net/failsafe/failsafe_ether.c b/drivers/net/failsafe/failsafe_ether.c\nindex 7ac23d49a..549ee6bb8 100644\n--- a/drivers/net/failsafe/failsafe_ether.c\n+++ b/drivers/net/failsafe/failsafe_ether.c\n@@ -166,7 +166,7 @@ fs_eth_dev_conf_apply(struct rte_eth_dev *dev,\n \t\tDEBUG(\"Configure additional MAC address%s\",\n \t\t\t(PRIV(dev)->nb_mac_addr > 2 ? \"es\" : \"\"));\n \tfor (i = 1; i < PRIV(dev)->nb_mac_addr; i++) {\n-\t\tstruct ether_addr *ea;\n+\t\tstruct rte_ether_addr *ea;\n \n \t\tea = &dev->data->mac_addrs[i];\n \t\tret = rte_eth_dev_mac_addr_add(PORT_ID(sdev), ea,\ndiff --git a/drivers/net/failsafe/failsafe_ops.c b/drivers/net/failsafe/failsafe_ops.c\nindex 43d6a828f..c900eccb2 100644\n--- a/drivers/net/failsafe/failsafe_ops.c\n+++ b/drivers/net/failsafe/failsafe_ops.c\n@@ -1082,7 +1082,7 @@ fs_mac_addr_remove(struct rte_eth_dev *dev, uint32_t index)\n \n static int\n fs_mac_addr_add(struct rte_eth_dev *dev,\n-\t\tstruct ether_addr *mac_addr,\n+\t\tstruct rte_ether_addr *mac_addr,\n \t\tuint32_t index,\n \t\tuint32_t vmdq)\n {\n@@ -1111,7 +1111,7 @@ fs_mac_addr_add(struct rte_eth_dev *dev,\n }\n \n static int\n-fs_mac_addr_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr)\n+fs_mac_addr_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr)\n {\n \tstruct sub_device *sdev;\n \tuint8_t i;\n@@ -1135,7 +1135,7 @@ fs_mac_addr_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr)\n \n static int\n fs_set_mc_addr_list(struct rte_eth_dev *dev,\n-\t\t    struct ether_addr *mc_addr_set, uint32_t nb_mc_addr)\n+\t\t    struct rte_ether_addr *mc_addr_set, uint32_t nb_mc_addr)\n {\n \tstruct sub_device *sdev;\n \tuint8_t i;\ndiff --git a/drivers/net/failsafe/failsafe_private.h b/drivers/net/failsafe/failsafe_private.h\nindex 4f58a5c91..231b89edd 100644\n--- a/drivers/net/failsafe/failsafe_private.h\n+++ b/drivers/net/failsafe/failsafe_private.h\n@@ -152,10 +152,10 @@ struct fs_priv {\n \tTAILQ_HEAD(sub_flows, rte_flow) flow_list;\n \t/* current number of mac_addr slots allocated. */\n \tuint32_t nb_mac_addr;\n-\tstruct ether_addr mac_addrs[FAILSAFE_MAX_ETHADDR];\n+\tstruct rte_ether_addr mac_addrs[FAILSAFE_MAX_ETHADDR];\n \tuint32_t mac_addr_pool[FAILSAFE_MAX_ETHADDR];\n \tuint32_t nb_mcast_addr;\n-\tstruct ether_addr *mcast_addrs;\n+\tstruct rte_ether_addr *mcast_addrs;\n \t/* current capabilities */\n \tstruct rte_eth_dev_info infos;\n \tstruct rte_eth_dev_owner my_owner; /* Unique owner. */\ndiff --git a/drivers/net/fm10k/fm10k_ethdev.c b/drivers/net/fm10k/fm10k_ethdev.c\nindex caf4d1bc0..ac00828dd 100644\n--- a/drivers/net/fm10k/fm10k_ethdev.c\n+++ b/drivers/net/fm10k/fm10k_ethdev.c\n@@ -614,7 +614,7 @@ fm10k_dev_mq_rx_configure(struct rte_eth_dev *dev)\n \t/* reset MAC/VLAN as it's based on VMDQ or PF main VSI */\n \tmemset(dev->data->mac_addrs, 0,\n \t\tETHER_ADDR_LEN * FM10K_MAX_MACADDR_NUM);\n-\tether_addr_copy((const struct ether_addr *)hw->mac.addr,\n+\tether_addr_copy((const struct rte_ether_addr *)hw->mac.addr,\n \t\t&dev->data->mac_addrs[0]);\n \tmemset(macvlan, 0, sizeof(*macvlan));\n \tmacvlan->nb_queue_pools = nb_queue_pools;\n@@ -1664,7 +1664,7 @@ static void fm10k_MAC_filter_set(struct rte_eth_dev *dev,\n /* Add a MAC address, and update filters */\n static int\n fm10k_macaddr_add(struct rte_eth_dev *dev,\n-\t\tstruct ether_addr *mac_addr,\n+\t\tstruct rte_ether_addr *mac_addr,\n \t\tuint32_t index,\n \t\tuint32_t pool)\n {\n@@ -3080,7 +3080,7 @@ eth_fm10k_dev_init(struct rte_eth_dev *dev)\n \n \tdiag = fm10k_read_mac_addr(hw);\n \n-\tether_addr_copy((const struct ether_addr *)hw->mac.addr,\n+\tether_addr_copy((const struct rte_ether_addr *)hw->mac.addr,\n \t\t\t&dev->data->mac_addrs[0]);\n \n \tif (diag != FM10K_SUCCESS ||\n@@ -3089,7 +3089,7 @@ eth_fm10k_dev_init(struct rte_eth_dev *dev)\n \t\t/* Generate a random addr */\n \t\teth_random_addr(hw->mac.addr);\n \t\tmemcpy(hw->mac.perm_addr, hw->mac.addr, ETH_ALEN);\n-\t\tether_addr_copy((const struct ether_addr *)hw->mac.addr,\n+\t\tether_addr_copy((const struct rte_ether_addr *)hw->mac.addr,\n \t\t&dev->data->mac_addrs[0]);\n \t}\n \ndiff --git a/drivers/net/i40e/i40e_ethdev.c b/drivers/net/i40e/i40e_ethdev.c\nindex cab440f5a..802900dc7 100644\n--- a/drivers/net/i40e/i40e_ethdev.c\n+++ b/drivers/net/i40e/i40e_ethdev.c\n@@ -265,7 +265,7 @@ static int i40e_flow_ctrl_set(struct rte_eth_dev *dev,\n static int i40e_priority_flow_ctrl_set(struct rte_eth_dev *dev,\n \t\t\t\t       struct rte_eth_pfc_conf *pfc_conf);\n static int i40e_macaddr_add(struct rte_eth_dev *dev,\n-\t\t\t    struct ether_addr *mac_addr,\n+\t\t\t    struct rte_ether_addr *mac_addr,\n \t\t\t    uint32_t index,\n \t\t\t    uint32_t pool);\n static void i40e_macaddr_remove(struct rte_eth_dev *dev, uint32_t index);\n@@ -379,7 +379,7 @@ static int i40e_get_module_eeprom(struct rte_eth_dev *dev,\n \t\t\t\t  struct rte_dev_eeprom_info *info);\n \n static int i40e_set_default_mac_addr(struct rte_eth_dev *dev,\n-\t\t\t\t      struct ether_addr *mac_addr);\n+\t\t\t\t      struct rte_ether_addr *mac_addr);\n \n static int i40e_dev_mtu_set(struct rte_eth_dev *dev, uint16_t mtu);\n \n@@ -1468,8 +1468,8 @@ eth_i40e_dev_init(struct rte_eth_dev *dev, void *init_params __rte_unused)\n \t\tgoto err_get_mac_addr;\n \t}\n \t/* Copy the permanent MAC address */\n-\tether_addr_copy((struct ether_addr *) hw->mac.addr,\n-\t\t\t(struct ether_addr *) hw->mac.perm_addr);\n+\tether_addr_copy((struct rte_ether_addr *)hw->mac.addr,\n+\t\t\t(struct rte_ether_addr *)hw->mac.perm_addr);\n \n \t/* Disable flow control */\n \thw->fc.requested_mode = I40E_FC_NONE;\n@@ -1520,7 +1520,7 @@ eth_i40e_dev_init(struct rte_eth_dev *dev, void *init_params __rte_unused)\n \t\t\t\"Failed to allocated memory for storing mac address\");\n \t\tgoto err_mac_alloc;\n \t}\n-\tether_addr_copy((struct ether_addr *)hw->mac.perm_addr,\n+\tether_addr_copy((struct rte_ether_addr *)hw->mac.perm_addr,\n \t\t\t\t\t&dev->data->mac_addrs[0]);\n \n \t/* Init dcb to sw mode by default */\n@@ -4011,7 +4011,7 @@ i40e_priority_flow_ctrl_set(__rte_unused struct rte_eth_dev *dev,\n /* Add a MAC address, and update filters */\n static int\n i40e_macaddr_add(struct rte_eth_dev *dev,\n-\t\t struct ether_addr *mac_addr,\n+\t\t struct rte_ether_addr *mac_addr,\n \t\t __rte_unused uint32_t index,\n \t\t uint32_t pool)\n {\n@@ -4062,7 +4062,7 @@ i40e_macaddr_remove(struct rte_eth_dev *dev, uint32_t index)\n \tstruct i40e_pf *pf = I40E_DEV_PRIVATE_TO_PF(dev->data->dev_private);\n \tstruct i40e_vsi *vsi;\n \tstruct rte_eth_dev_data *data = dev->data;\n-\tstruct ether_addr *macaddr;\n+\tstruct rte_ether_addr *macaddr;\n \tint ret;\n \tuint32_t i;\n \tuint64_t pool_sel;\n@@ -4103,8 +4103,8 @@ i40e_vf_mac_filter_set(struct i40e_pf *pf,\n {\n \tstruct i40e_hw *hw;\n \tstruct i40e_mac_filter_info mac_filter;\n-\tstruct ether_addr old_mac;\n-\tstruct ether_addr *new_mac;\n+\tstruct rte_ether_addr old_mac;\n+\tstruct rte_ether_addr *new_mac;\n \tstruct i40e_pf_vf *vf = NULL;\n \tuint16_t vf_id;\n \tint ret;\n@@ -4165,7 +4165,7 @@ i40e_vf_mac_filter_set(struct i40e_pf *pf,\n \n \t\t/* Clear device address as it has been removed */\n \t\tif (is_same_ether_addr(&(pf->dev_addr), new_mac))\n-\t\t\tmemset(&pf->dev_addr, 0, sizeof(struct ether_addr));\n+\t\t\tmemset(&pf->dev_addr, 0, sizeof(struct rte_ether_addr));\n \t}\n \n \treturn 0;\n@@ -5342,7 +5342,7 @@ i40e_update_default_filter_setting(struct i40e_vsi *vsi)\n \tret = i40e_aq_remove_macvlan(hw, vsi->seid, &def_filter, 1, NULL);\n \tif (ret != I40E_SUCCESS) {\n \t\tstruct i40e_mac_filter *f;\n-\t\tstruct ether_addr *mac;\n+\t\tstruct rte_ether_addr *mac;\n \n \t\tPMD_DRV_LOG(DEBUG,\n \t\t\t    \"Cannot remove the default macvlan filter\");\n@@ -5362,7 +5362,7 @@ i40e_update_default_filter_setting(struct i40e_vsi *vsi)\n \t\treturn ret;\n \t}\n \trte_memcpy(&filter.mac_addr,\n-\t\t(struct ether_addr *)(hw->mac.perm_addr), ETH_ADDR_LEN);\n+\t\t(struct rte_ether_addr *)(hw->mac.perm_addr), ETH_ADDR_LEN);\n \tfilter.filter_type = RTE_MACVLAN_PERFECT_MATCH;\n \treturn i40e_vsi_add_mac(vsi, &filter);\n }\n@@ -5480,7 +5480,7 @@ i40e_vsi_setup(struct i40e_pf *pf,\n \tstruct i40e_mac_filter_info filter;\n \tint ret;\n \tstruct i40e_vsi_context ctxt;\n-\tstruct ether_addr broadcast =\n+\tstruct rte_ether_addr broadcast =\n \t\t{.addr_bytes = {0xff, 0xff, 0xff, 0xff, 0xff, 0xff}};\n \n \tif (type != I40E_VSI_MAIN && type != I40E_VSI_SRIOV &&\n@@ -6907,7 +6907,7 @@ i40e_remove_macvlan_filters(struct i40e_vsi *vsi,\n /* Find out specific MAC filter */\n static struct i40e_mac_filter *\n i40e_find_mac_filter(struct i40e_vsi *vsi,\n-\t\t\t struct ether_addr *macaddr)\n+\t\t\t struct rte_ether_addr *macaddr)\n {\n \tstruct i40e_mac_filter *f;\n \n@@ -6991,7 +6991,7 @@ i40e_set_vlan_filter(struct i40e_vsi *vsi,\n int\n i40e_find_all_vlan_for_mac(struct i40e_vsi *vsi,\n \t\t\t   struct i40e_macvlan_filter *mv_f,\n-\t\t\t   int num, struct ether_addr *addr)\n+\t\t\t   int num, struct rte_ether_addr *addr)\n {\n \tint i;\n \tuint32_t j, k;\n@@ -7285,7 +7285,7 @@ i40e_vsi_add_mac(struct i40e_vsi *vsi, struct i40e_mac_filter_info *mac_filter)\n }\n \n int\n-i40e_vsi_delete_mac(struct i40e_vsi *vsi, struct ether_addr *addr)\n+i40e_vsi_delete_mac(struct i40e_vsi *vsi, struct rte_ether_addr *addr)\n {\n \tstruct i40e_mac_filter *f;\n \tstruct i40e_macvlan_filter *mv_f;\n@@ -7585,10 +7585,10 @@ i40e_tunnel_filter_convert(\n \tstruct i40e_aqc_cloud_filters_element_bb *cld_filter,\n \tstruct i40e_tunnel_filter *tunnel_filter)\n {\n-\tether_addr_copy((struct ether_addr *)&cld_filter->element.outer_mac,\n-\t\t\t(struct ether_addr *)&tunnel_filter->input.outer_mac);\n-\tether_addr_copy((struct ether_addr *)&cld_filter->element.inner_mac,\n-\t\t\t(struct ether_addr *)&tunnel_filter->input.inner_mac);\n+\tether_addr_copy((struct rte_ether_addr *)&cld_filter->element.outer_mac,\n+\t\t(struct rte_ether_addr *)&tunnel_filter->input.outer_mac);\n+\tether_addr_copy((struct rte_ether_addr *)&cld_filter->element.inner_mac,\n+\t\t(struct rte_ether_addr *)&tunnel_filter->input.inner_mac);\n \ttunnel_filter->input.inner_vlan = cld_filter->element.inner_vlan;\n \tif ((rte_le_to_cpu_16(cld_filter->element.flags) &\n \t     I40E_AQC_ADD_CLOUD_FLAGS_IPV6) ==\n@@ -7697,9 +7697,9 @@ i40e_dev_tunnel_filter_set(struct i40e_pf *pf,\n \tpfilter = cld_filter;\n \n \tether_addr_copy(&tunnel_filter->outer_mac,\n-\t\t\t(struct ether_addr *)&pfilter->element.outer_mac);\n+\t\t\t(struct rte_ether_addr *)&pfilter->element.outer_mac);\n \tether_addr_copy(&tunnel_filter->inner_mac,\n-\t\t\t(struct ether_addr *)&pfilter->element.inner_mac);\n+\t\t\t(struct rte_ether_addr *)&pfilter->element.inner_mac);\n \n \tpfilter->element.inner_vlan =\n \t\trte_cpu_to_le_16(tunnel_filter->inner_vlan);\n@@ -8144,9 +8144,9 @@ i40e_dev_consistent_tunnel_filter_set(struct i40e_pf *pf,\n \tpfilter = cld_filter;\n \n \tether_addr_copy(&tunnel_filter->outer_mac,\n-\t\t\t(struct ether_addr *)&pfilter->element.outer_mac);\n+\t\t\t(struct rte_ether_addr *)&pfilter->element.outer_mac);\n \tether_addr_copy(&tunnel_filter->inner_mac,\n-\t\t\t(struct ether_addr *)&pfilter->element.inner_mac);\n+\t\t\t(struct rte_ether_addr *)&pfilter->element.inner_mac);\n \n \tpfilter->element.inner_vlan =\n \t\trte_cpu_to_le_16(tunnel_filter->inner_vlan);\n@@ -11950,7 +11950,7 @@ static int i40e_get_module_eeprom(struct rte_eth_dev *dev,\n }\n \n static int i40e_set_default_mac_addr(struct rte_eth_dev *dev,\n-\t\t\t\t     struct ether_addr *mac_addr)\n+\t\t\t\t     struct rte_ether_addr *mac_addr)\n {\n \tstruct i40e_hw *hw = I40E_DEV_PRIVATE_TO_HW(dev->data->dev_private);\n \tstruct i40e_pf *pf = I40E_DEV_PRIVATE_TO_PF(dev->data->dev_private);\n@@ -12083,10 +12083,10 @@ i40e_tunnel_filter_restore(struct i40e_pf *pf)\n \t\t\tvsi = vf->vsi;\n \t\t}\n \t\tmemset(&cld_filter, 0, sizeof(cld_filter));\n-\t\tether_addr_copy((struct ether_addr *)&f->input.outer_mac,\n-\t\t\t(struct ether_addr *)&cld_filter.element.outer_mac);\n-\t\tether_addr_copy((struct ether_addr *)&f->input.inner_mac,\n-\t\t\t(struct ether_addr *)&cld_filter.element.inner_mac);\n+\t\tether_addr_copy((struct rte_ether_addr *)&f->input.outer_mac,\n+\t\t\t(struct rte_ether_addr *)&cld_filter.element.outer_mac);\n+\t\tether_addr_copy((struct rte_ether_addr *)&f->input.inner_mac,\n+\t\t\t(struct rte_ether_addr *)&cld_filter.element.inner_mac);\n \t\tcld_filter.element.inner_vlan = f->input.inner_vlan;\n \t\tcld_filter.element.flags = f->input.flags;\n \t\tcld_filter.element.tenant_id = f->input.tenant_id;\ndiff --git a/drivers/net/i40e/i40e_ethdev.h b/drivers/net/i40e/i40e_ethdev.h\nindex 98550380e..ffaa7b949 100644\n--- a/drivers/net/i40e/i40e_ethdev.h\n+++ b/drivers/net/i40e/i40e_ethdev.h\n@@ -277,7 +277,7 @@ struct i40e_adapter;\n  */\n struct i40e_mac_filter_info {\n \tenum rte_mac_filter_type filter_type;\n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_addr mac_addr;\n };\n \n TAILQ_HEAD(i40e_mac_filter_list, i40e_mac_filter);\n@@ -330,7 +330,7 @@ struct i40e_veb {\n \n /* i40e MACVLAN filter structure */\n struct i40e_macvlan_filter {\n-\tstruct ether_addr macaddr;\n+\tstruct rte_ether_addr macaddr;\n \tenum rte_mac_filter_type filter_type;\n \tuint16_t vlan_id;\n };\n@@ -421,7 +421,7 @@ struct i40e_pf_vf {\n \tuint16_t vf_idx; /* VF index in pf->vfs */\n \tuint16_t lan_nb_qps; /* Actual queues allocated */\n \tuint16_t reset_cnt; /* Total vf reset times */\n-\tstruct ether_addr mac_addr;  /* Default MAC address */\n+\tstruct rte_ether_addr mac_addr;  /* Default MAC address */\n \t/* version of the virtchnl from VF */\n \tstruct virtchnl_version_info version;\n \tuint32_t request_caps; /* offload caps requested from VF */\n@@ -641,7 +641,7 @@ struct i40e_fdir_info {\n \n /* Ethertype filter struct */\n struct i40e_ethertype_filter_input {\n-\tstruct ether_addr mac_addr;   /* Mac address to match */\n+\tstruct rte_ether_addr mac_addr;   /* Mac address to match */\n \tuint16_t ether_type;          /* Ether type to match */\n };\n \n@@ -759,8 +759,8 @@ enum i40e_tunnel_type {\n  * Tunneling Packet filter configuration.\n  */\n struct i40e_tunnel_filter_conf {\n-\tstruct ether_addr outer_mac;    /**< Outer MAC address to match. */\n-\tstruct ether_addr inner_mac;    /**< Inner MAC address to match. */\n+\tstruct rte_ether_addr outer_mac;    /**< Outer MAC address to match. */\n+\tstruct rte_ether_addr inner_mac;    /**< Inner MAC address to match. */\n \tuint16_t inner_vlan;            /**< Inner VLAN to match. */\n \tuint32_t outer_vlan;            /**< Outer VLAN to match */\n \tenum i40e_tunnel_iptype ip_type; /**< IP address type. */\n@@ -919,7 +919,7 @@ struct i40e_pf {\n \tbool offset_loaded;\n \n \tstruct rte_eth_dev_data *dev_data; /* Pointer to the device data */\n-\tstruct ether_addr dev_addr; /* PF device mac address */\n+\tstruct rte_ether_addr dev_addr; /* PF device mac address */\n \tuint64_t flags; /* PF feature flags */\n \t/* All kinds of queue pair setting for different VSIs */\n \tstruct i40e_pf_vf *vfs;\n@@ -1023,7 +1023,8 @@ struct i40e_vf {\n \tuint16_t promisc_flags; /* Promiscuous setting */\n \tuint32_t vlan[I40E_VFTA_SIZE]; /* VLAN bit map */\n \n-\tstruct ether_addr mc_addrs[I40E_NUM_MACADDR_MAX]; /* Multicast addrs */\n+\t/* Multicast addrs */\n+\tstruct rte_ether_addr mc_addrs[I40E_NUM_MACADDR_MAX];\n \tuint16_t mc_addrs_num;   /* Multicast mac addresses number */\n \n \t/* Event from pf */\n@@ -1131,7 +1132,7 @@ int i40e_switch_tx_queue(struct i40e_hw *hw, uint16_t q_idx, bool on);\n int i40e_vsi_add_vlan(struct i40e_vsi *vsi, uint16_t vlan);\n int i40e_vsi_delete_vlan(struct i40e_vsi *vsi, uint16_t vlan);\n int i40e_vsi_add_mac(struct i40e_vsi *vsi, struct i40e_mac_filter_info *filter);\n-int i40e_vsi_delete_mac(struct i40e_vsi *vsi, struct ether_addr *addr);\n+int i40e_vsi_delete_mac(struct i40e_vsi *vsi, struct rte_ether_addr *addr);\n void i40e_update_vsi_stats(struct i40e_vsi *vsi);\n void i40e_pf_disable_irq0(struct i40e_hw *hw);\n void i40e_pf_enable_irq0(struct i40e_hw *hw);\n@@ -1206,7 +1207,7 @@ int i40e_dev_consistent_tunnel_filter_set(struct i40e_pf *pf,\n int i40e_fdir_flush(struct rte_eth_dev *dev);\n int i40e_find_all_vlan_for_mac(struct i40e_vsi *vsi,\n \t\t\t       struct i40e_macvlan_filter *mv_f,\n-\t\t\t       int num, struct ether_addr *addr);\n+\t\t\t       int num, struct rte_ether_addr *addr);\n int i40e_remove_macvlan_filters(struct i40e_vsi *vsi,\n \t\t\t\tstruct i40e_macvlan_filter *filter,\n \t\t\t\tint total);\ndiff --git a/drivers/net/i40e/i40e_ethdev_vf.c b/drivers/net/i40e/i40e_ethdev_vf.c\nindex a34897293..0b05ddb34 100644\n--- a/drivers/net/i40e/i40e_ethdev_vf.c\n+++ b/drivers/net/i40e/i40e_ethdev_vf.c\n@@ -106,7 +106,7 @@ static int i40evf_dev_tx_queue_start(struct rte_eth_dev *dev,\n static int i40evf_dev_tx_queue_stop(struct rte_eth_dev *dev,\n \t\t\t\t    uint16_t tx_queue_id);\n static int i40evf_add_mac_addr(struct rte_eth_dev *dev,\n-\t\t\t       struct ether_addr *addr,\n+\t\t\t       struct rte_ether_addr *addr,\n \t\t\t       uint32_t index,\n \t\t\t       uint32_t pool);\n static void i40evf_del_mac_addr(struct rte_eth_dev *dev, uint32_t index);\n@@ -123,7 +123,7 @@ static int i40evf_dev_rss_hash_conf_get(struct rte_eth_dev *dev,\n \t\t\t\t\tstruct rte_eth_rss_conf *rss_conf);\n static int i40evf_dev_mtu_set(struct rte_eth_dev *dev, uint16_t mtu);\n static int i40evf_set_default_mac_addr(struct rte_eth_dev *dev,\n-\t\t\t\t\tstruct ether_addr *mac_addr);\n+\t\t\t\t\tstruct rte_ether_addr *mac_addr);\n static int\n i40evf_dev_rx_queue_intr_enable(struct rte_eth_dev *dev, uint16_t queue_id);\n static int\n@@ -134,10 +134,11 @@ static void i40evf_handle_pf_event(struct rte_eth_dev *dev,\n \n static int\n i40evf_add_del_mc_addr_list(struct rte_eth_dev *dev,\n-\t\t\tstruct ether_addr *mc_addr_set,\n+\t\t\tstruct rte_ether_addr *mc_addr_set,\n \t\t\tuint32_t nb_mc_addr, bool add);\n static int\n-i40evf_set_mc_addr_list(struct rte_eth_dev *dev, struct ether_addr *mc_addr_set,\n+i40evf_set_mc_addr_list(struct rte_eth_dev *dev,\n+\t\t\tstruct rte_ether_addr *mc_addr_set,\n \t\t\tuint32_t nb_mc_addr);\n \n /* Default hash key buffer for RSS */\n@@ -776,7 +777,7 @@ i40evf_stop_queues(struct rte_eth_dev *dev)\n \n static int\n i40evf_add_mac_addr(struct rte_eth_dev *dev,\n-\t\t    struct ether_addr *addr,\n+\t\t    struct rte_ether_addr *addr,\n \t\t    __rte_unused uint32_t index,\n \t\t    __rte_unused uint32_t pool)\n {\n@@ -818,7 +819,7 @@ i40evf_add_mac_addr(struct rte_eth_dev *dev,\n \n static void\n i40evf_del_mac_addr_by_addr(struct rte_eth_dev *dev,\n-\t\t\t    struct ether_addr *addr)\n+\t\t\t    struct rte_ether_addr *addr)\n {\n \tstruct virtchnl_ether_addr_list *list;\n \tstruct i40e_vf *vf = I40EVF_DEV_PRIVATE_TO_VF(dev->data->dev_private);\n@@ -859,7 +860,7 @@ static void\n i40evf_del_mac_addr(struct rte_eth_dev *dev, uint32_t index)\n {\n \tstruct rte_eth_dev_data *data = dev->data;\n-\tstruct ether_addr *addr;\n+\tstruct rte_ether_addr *addr;\n \n \taddr = &data->mac_addrs[index];\n \n@@ -1273,7 +1274,7 @@ i40evf_init_vf(struct rte_eth_dev *dev)\n \tvf->vsi.adapter = I40E_DEV_PRIVATE_TO_ADAPTER(dev->data->dev_private);\n \n \t/* Store the MAC address configured by host, or generate random one */\n-\tif (is_valid_assigned_ether_addr((struct ether_addr *)hw->mac.addr))\n+\tif (is_valid_assigned_ether_addr((struct rte_ether_addr *)hw->mac.addr))\n \t\tvf->flags |= I40E_FLAG_VF_MAC_BY_PF;\n \telse\n \t\teth_random_addr(hw->mac.addr); /* Generate a random one */\n@@ -1511,7 +1512,7 @@ i40evf_dev_init(struct rte_eth_dev *eth_dev)\n \t\t\t\tETHER_ADDR_LEN * I40E_NUM_MACADDR_MAX);\n \t\treturn -ENOMEM;\n \t}\n-\tether_addr_copy((struct ether_addr *)hw->mac.addr,\n+\tether_addr_copy((struct rte_ether_addr *)hw->mac.addr,\n \t\t\t&eth_dev->data->mac_addrs[0]);\n \n \treturn 0;\n@@ -1929,7 +1930,7 @@ i40evf_add_del_all_mac_addr(struct rte_eth_dev *dev, bool add)\n \tint next_begin = 0;\n \tint begin = 0;\n \tuint32_t len;\n-\tstruct ether_addr *addr;\n+\tstruct rte_ether_addr *addr;\n \tstruct vf_cmd_info args;\n \n \tdo {\n@@ -2701,7 +2702,7 @@ i40evf_dev_mtu_set(struct rte_eth_dev *dev, uint16_t mtu)\n \n static int\n i40evf_set_default_mac_addr(struct rte_eth_dev *dev,\n-\t\t\t    struct ether_addr *mac_addr)\n+\t\t\t    struct rte_ether_addr *mac_addr)\n {\n \tstruct i40e_vf *vf = I40EVF_DEV_PRIVATE_TO_VF(dev->data->dev_private);\n \tstruct i40e_hw *hw = I40E_DEV_PRIVATE_TO_HW(dev->data->dev_private);\n@@ -2714,18 +2715,18 @@ i40evf_set_default_mac_addr(struct rte_eth_dev *dev,\n \tif (vf->flags & I40E_FLAG_VF_MAC_BY_PF)\n \t\treturn -EPERM;\n \n-\ti40evf_del_mac_addr_by_addr(dev, (struct ether_addr *)hw->mac.addr);\n+\ti40evf_del_mac_addr_by_addr(dev, (struct rte_ether_addr *)hw->mac.addr);\n \n \tif (i40evf_add_mac_addr(dev, mac_addr, 0, 0) != 0)\n \t\treturn -EIO;\n \n-\tether_addr_copy(mac_addr, (struct ether_addr *)hw->mac.addr);\n+\tether_addr_copy(mac_addr, (struct rte_ether_addr *)hw->mac.addr);\n \treturn 0;\n }\n \n static int\n i40evf_add_del_mc_addr_list(struct rte_eth_dev *dev,\n-\t\t\tstruct ether_addr *mc_addrs,\n+\t\t\tstruct rte_ether_addr *mc_addrs,\n \t\t\tuint32_t mc_addrs_num, bool add)\n {\n \tstruct virtchnl_ether_addr_list *list;\n@@ -2779,7 +2780,8 @@ i40evf_add_del_mc_addr_list(struct rte_eth_dev *dev,\n }\n \n static int\n-i40evf_set_mc_addr_list(struct rte_eth_dev *dev, struct ether_addr *mc_addrs,\n+i40evf_set_mc_addr_list(struct rte_eth_dev *dev,\n+\t\t\tstruct rte_ether_addr *mc_addrs,\n \t\t\tuint32_t mc_addrs_num)\n {\n \tstruct i40e_vf *vf = I40EVF_DEV_PRIVATE_TO_VF(dev->data->dev_private);\ndiff --git a/drivers/net/i40e/i40e_fdir.c b/drivers/net/i40e/i40e_fdir.c\nindex 4b32fee1e..dd940cecb 100644\n--- a/drivers/net/i40e/i40e_fdir.c\n+++ b/drivers/net/i40e/i40e_fdir.c\n@@ -685,7 +685,7 @@ i40e_fdir_fill_eth_ip_head(const struct rte_eth_fdir_input *fdir_input,\n {\n \tstatic uint8_t vlan_frame[] = {0x81, 0, 0, 0};\n \tuint16_t *ether_type;\n-\tuint8_t len = 2 * sizeof(struct ether_addr);\n+\tuint8_t len = 2 * sizeof(struct rte_ether_addr);\n \tstruct ipv4_hdr *ip;\n \tstruct ipv6_hdr *ip6;\n \tstatic const uint8_t next_proto[] = {\n@@ -701,7 +701,7 @@ i40e_fdir_fill_eth_ip_head(const struct rte_eth_fdir_input *fdir_input,\n \t\t[RTE_ETH_FLOW_NONFRAG_IPV6_OTHER] = IPPROTO_NONE,\n \t};\n \n-\traw_pkt += 2 * sizeof(struct ether_addr);\n+\traw_pkt += 2 * sizeof(struct rte_ether_addr);\n \tif (vlan && fdir_input->flow_ext.vlan_tci) {\n \t\trte_memcpy(raw_pkt, vlan_frame, sizeof(vlan_frame));\n \t\trte_memcpy(raw_pkt + sizeof(uint16_t),\n@@ -959,7 +959,7 @@ i40e_flow_fdir_fill_eth_ip_head(struct i40e_pf *pf,\n \tstruct i40e_customized_pctype *cus_pctype = NULL;\n \tstatic uint8_t vlan_frame[] = {0x81, 0, 0, 0};\n \tuint16_t *ether_type;\n-\tuint8_t len = 2 * sizeof(struct ether_addr);\n+\tuint8_t len = 2 * sizeof(struct rte_ether_addr);\n \tstruct ipv4_hdr *ip;\n \tstruct ipv6_hdr *ip6;\n \tuint8_t pctype = fdir_input->pctype;\n@@ -977,7 +977,7 @@ i40e_flow_fdir_fill_eth_ip_head(struct i40e_pf *pf,\n \t\t[I40E_FILTER_PCTYPE_NONF_IPV6_OTHER] = IPPROTO_NONE,\n \t};\n \n-\traw_pkt += 2 * sizeof(struct ether_addr);\n+\traw_pkt += 2 * sizeof(struct rte_ether_addr);\n \tif (vlan && fdir_input->flow_ext.vlan_tci) {\n \t\trte_memcpy(raw_pkt, vlan_frame, sizeof(vlan_frame));\n \t\trte_memcpy(raw_pkt + sizeof(uint16_t),\ndiff --git a/drivers/net/i40e/i40e_flow.c b/drivers/net/i40e/i40e_flow.c\nindex 5447e4ea5..0640137a8 100644\n--- a/drivers/net/i40e/i40e_flow.c\n+++ b/drivers/net/i40e/i40e_flow.c\n@@ -4802,10 +4802,10 @@ i40e_flow_destroy_tunnel_filter(struct i40e_pf *pf,\n \tint ret = 0;\n \n \tmemset(&cld_filter, 0, sizeof(cld_filter));\n-\tether_addr_copy((struct ether_addr *)&filter->input.outer_mac,\n-\t\t\t(struct ether_addr *)&cld_filter.element.outer_mac);\n-\tether_addr_copy((struct ether_addr *)&filter->input.inner_mac,\n-\t\t\t(struct ether_addr *)&cld_filter.element.inner_mac);\n+\tether_addr_copy((struct rte_ether_addr *)&filter->input.outer_mac,\n+\t\t\t(struct rte_ether_addr *)&cld_filter.element.outer_mac);\n+\tether_addr_copy((struct rte_ether_addr *)&filter->input.inner_mac,\n+\t\t\t(struct rte_ether_addr *)&cld_filter.element.inner_mac);\n \tcld_filter.element.inner_vlan = filter->input.inner_vlan;\n \tcld_filter.element.flags = filter->input.flags;\n \tcld_filter.element.tenant_id = filter->input.tenant_id;\ndiff --git a/drivers/net/i40e/i40e_pf.c b/drivers/net/i40e/i40e_pf.c\nindex 91be45027..4d7001df5 100644\n--- a/drivers/net/i40e/i40e_pf.c\n+++ b/drivers/net/i40e/i40e_pf.c\n@@ -349,7 +349,7 @@ i40e_pf_host_process_cmd_get_vf_resource(struct i40e_pf_vf *vf, uint8_t *msg,\n \tvf_res->vsi_res[0].vsi_id = vf->vsi->vsi_id;\n \tvf_res->vsi_res[0].num_queue_pairs = vf->vsi->nb_qps;\n \tether_addr_copy(&vf->mac_addr,\n-\t\t(struct ether_addr *)vf_res->vsi_res[0].default_mac_addr);\n+\t\t(struct rte_ether_addr *)vf_res->vsi_res[0].default_mac_addr);\n \n send_msg:\n \ti40e_pf_host_send_msg_to_vf(vf, VIRTCHNL_OP_GET_VF_RESOURCES,\n@@ -823,7 +823,7 @@ i40e_pf_host_process_cmd_add_ether_address(struct i40e_pf_vf *vf,\n \t\t\t(struct virtchnl_ether_addr_list *)msg;\n \tstruct i40e_mac_filter_info filter;\n \tint i;\n-\tstruct ether_addr *mac;\n+\tstruct rte_ether_addr *mac;\n \n \tif (!b_op) {\n \t\ti40e_pf_host_send_msg_to_vf(\n@@ -842,7 +842,7 @@ i40e_pf_host_process_cmd_add_ether_address(struct i40e_pf_vf *vf,\n \t}\n \n \tfor (i = 0; i < addr_list->num_elements; i++) {\n-\t\tmac = (struct ether_addr *)(addr_list->list[i].addr);\n+\t\tmac = (struct rte_ether_addr *)(addr_list->list[i].addr);\n \t\trte_memcpy(&filter.mac_addr, mac, ETHER_ADDR_LEN);\n \t\tfilter.filter_type = RTE_MACVLAN_PERFECT_MATCH;\n \t\tif (is_zero_ether_addr(mac) ||\n@@ -869,7 +869,7 @@ i40e_pf_host_process_cmd_del_ether_address(struct i40e_pf_vf *vf,\n \tstruct virtchnl_ether_addr_list *addr_list =\n \t\t(struct virtchnl_ether_addr_list *)msg;\n \tint i;\n-\tstruct ether_addr *mac;\n+\tstruct rte_ether_addr *mac;\n \n \tif (!b_op) {\n \t\ti40e_pf_host_send_msg_to_vf(\n@@ -886,7 +886,7 @@ i40e_pf_host_process_cmd_del_ether_address(struct i40e_pf_vf *vf,\n \t}\n \n \tfor (i = 0; i < addr_list->num_elements; i++) {\n-\t\tmac = (struct ether_addr *)(addr_list->list[i].addr);\n+\t\tmac = (struct rte_ether_addr *)(addr_list->list[i].addr);\n \t\tif(is_zero_ether_addr(mac) ||\n \t\t\ti40e_vsi_delete_mac(vf->vsi, mac)) {\n \t\t\tret = I40E_ERR_INVALID_MAC_ADDR;\ndiff --git a/drivers/net/i40e/i40e_vf_representor.c b/drivers/net/i40e/i40e_vf_representor.c\nindex 45a15d3ab..633dca6c3 100644\n--- a/drivers/net/i40e/i40e_vf_representor.c\n+++ b/drivers/net/i40e/i40e_vf_representor.c\n@@ -324,7 +324,7 @@ i40e_vf_representor_mac_addr_remove(struct rte_eth_dev *ethdev, uint32_t index)\n \n static int\n i40e_vf_representor_mac_addr_set(struct rte_eth_dev *ethdev,\n-\t\tstruct ether_addr *mac_addr)\n+\t\tstruct rte_ether_addr *mac_addr)\n {\n \tstruct i40e_vf_representor *representor = ethdev->data->dev_private;\n \ndiff --git a/drivers/net/i40e/rte_pmd_i40e.c b/drivers/net/i40e/rte_pmd_i40e.c\nindex 7ae78e426..8e562553a 100644\n--- a/drivers/net/i40e/rte_pmd_i40e.c\n+++ b/drivers/net/i40e/rte_pmd_i40e.c\n@@ -529,7 +529,7 @@ rte_pmd_i40e_set_vf_multicast_promisc(uint16_t port, uint16_t vf_id, uint8_t on)\n \n int\n rte_pmd_i40e_set_vf_mac_addr(uint16_t port, uint16_t vf_id,\n-\t\t\t     struct ether_addr *mac_addr)\n+\t\t\t     struct rte_ether_addr *mac_addr)\n {\n \tstruct i40e_mac_filter *f;\n \tstruct rte_eth_dev *dev;\n@@ -571,11 +571,11 @@ rte_pmd_i40e_set_vf_mac_addr(uint16_t port, uint16_t vf_id,\n \treturn 0;\n }\n \n-static const struct ether_addr null_mac_addr;\n+static const struct rte_ether_addr null_mac_addr;\n \n int\n rte_pmd_i40e_remove_vf_mac_addr(uint16_t port, uint16_t vf_id,\n-\tstruct ether_addr *mac_addr)\n+\tstruct rte_ether_addr *mac_addr)\n {\n \tstruct rte_eth_dev *dev;\n \tstruct i40e_pf_vf *vf;\n@@ -724,7 +724,7 @@ int rte_pmd_i40e_set_vf_broadcast(uint16_t port, uint16_t vf_id,\n \tstruct i40e_vsi *vsi;\n \tstruct i40e_hw *hw;\n \tstruct i40e_mac_filter_info filter;\n-\tstruct ether_addr broadcast = {\n+\tstruct rte_ether_addr broadcast = {\n \t\t.addr_bytes = {0xff, 0xff, 0xff, 0xff, 0xff, 0xff} };\n \tint ret;\n \n@@ -2355,7 +2355,7 @@ int rte_pmd_i40e_ptype_mapping_replace(uint16_t port,\n \n int\n rte_pmd_i40e_add_vf_mac_addr(uint16_t port, uint16_t vf_id,\n-\t\t\t     struct ether_addr *mac_addr)\n+\t\t\t     struct rte_ether_addr *mac_addr)\n {\n \tstruct rte_eth_dev *dev;\n \tstruct i40e_pf_vf *vf;\n@@ -2492,10 +2492,11 @@ rte_pmd_i40e_flow_type_mapping_update(\n }\n \n int\n-rte_pmd_i40e_query_vfid_by_mac(uint16_t port, const struct ether_addr *vf_mac)\n+rte_pmd_i40e_query_vfid_by_mac(uint16_t port,\n+\t\t\tconst struct rte_ether_addr *vf_mac)\n {\n \tstruct rte_eth_dev *dev;\n-\tstruct ether_addr *mac;\n+\tstruct rte_ether_addr *mac;\n \tstruct i40e_pf *pf;\n \tint vf_id;\n \tstruct i40e_pf_vf *vf;\ndiff --git a/drivers/net/i40e/rte_pmd_i40e.h b/drivers/net/i40e/rte_pmd_i40e.h\nindex a1313146b..faac9e2e2 100644\n--- a/drivers/net/i40e/rte_pmd_i40e.h\n+++ b/drivers/net/i40e/rte_pmd_i40e.h\n@@ -453,7 +453,7 @@ int rte_pmd_i40e_set_vf_multicast_promisc(uint16_t port,\n  *   - (-EINVAL) if *vf* or *mac_addr* is invalid.\n  */\n int rte_pmd_i40e_set_vf_mac_addr(uint16_t port, uint16_t vf_id,\n-\t\t\t\t struct ether_addr *mac_addr);\n+\t\t\t\t struct rte_ether_addr *mac_addr);\n \n /**\n  * Remove the VF MAC address.\n@@ -471,7 +471,7 @@ int rte_pmd_i40e_set_vf_mac_addr(uint16_t port, uint16_t vf_id,\n  */\n int\n rte_pmd_i40e_remove_vf_mac_addr(uint16_t port, uint16_t vf_id,\n-\tstruct ether_addr *mac_addr);\n+\tstruct rte_ether_addr *mac_addr);\n \n /**\n  * Enable/Disable vf vlan strip for all queues in a pool\n@@ -854,7 +854,7 @@ int rte_pmd_i40e_ptype_mapping_replace(uint16_t port,\n  *   - (-EINVAL) if *vf* or *mac_addr* is invalid.\n  */\n int rte_pmd_i40e_add_vf_mac_addr(uint16_t port, uint16_t vf_id,\n-\t\t\t\t struct ether_addr *mac_addr);\n+\t\t\t\t struct rte_ether_addr *mac_addr);\n \n #define RTE_PMD_I40E_PCTYPE_MAX\t\t64\n #define RTE_PMD_I40E_FLOW_TYPE_MAX\t64\n@@ -924,7 +924,7 @@ int rte_pmd_i40e_flow_type_mapping_reset(uint16_t port);\n  *    -ENOTSUP: i40e not supported for this port.\n  */\n int rte_pmd_i40e_query_vfid_by_mac(uint16_t port,\n-\t\t\t\t\tconst struct ether_addr *vf_mac);\n+\t\t\t\t\tconst struct rte_ether_addr *vf_mac);\n \n /**\n  * Do RSS queue region configuration for that port as\ndiff --git a/drivers/net/iavf/iavf.h b/drivers/net/iavf/iavf.h\nindex 3470e0305..1227259f5 100644\n--- a/drivers/net/iavf/iavf.h\n+++ b/drivers/net/iavf/iavf.h\n@@ -217,6 +217,6 @@ int iavf_query_stats(struct iavf_adapter *adapter,\n int iavf_config_promisc(struct iavf_adapter *adapter, bool enable_unicast,\n \t\t       bool enable_multicast);\n int iavf_add_del_eth_addr(struct iavf_adapter *adapter,\n-\t\t\t struct ether_addr *addr, bool add);\n+\t\t\t struct rte_ether_addr *addr, bool add);\n int iavf_add_del_vlan(struct iavf_adapter *adapter, uint16_t vlanid, bool add);\n #endif /* _IAVF_ETHDEV_H_ */\ndiff --git a/drivers/net/iavf/iavf_ethdev.c b/drivers/net/iavf/iavf_ethdev.c\nindex 4072a7b79..c98355025 100644\n--- a/drivers/net/iavf/iavf_ethdev.c\n+++ b/drivers/net/iavf/iavf_ethdev.c\n@@ -48,7 +48,7 @@ static void iavf_dev_promiscuous_disable(struct rte_eth_dev *dev);\n static void iavf_dev_allmulticast_enable(struct rte_eth_dev *dev);\n static void iavf_dev_allmulticast_disable(struct rte_eth_dev *dev);\n static int iavf_dev_add_mac_addr(struct rte_eth_dev *dev,\n-\t\t\t\tstruct ether_addr *addr,\n+\t\t\t\tstruct rte_ether_addr *addr,\n \t\t\t\tuint32_t index,\n \t\t\t\tuint32_t pool);\n static void iavf_dev_del_mac_addr(struct rte_eth_dev *dev, uint32_t index);\n@@ -67,7 +67,7 @@ static int iavf_dev_rss_hash_conf_get(struct rte_eth_dev *dev,\n \t\t\t\t     struct rte_eth_rss_conf *rss_conf);\n static int iavf_dev_mtu_set(struct rte_eth_dev *dev, uint16_t mtu);\n static int iavf_dev_set_default_mac_addr(struct rte_eth_dev *dev,\n-\t\t\t\t\t struct ether_addr *mac_addr);\n+\t\t\t\t\t struct rte_ether_addr *mac_addr);\n static int iavf_dev_rx_queue_intr_enable(struct rte_eth_dev *dev,\n \t\t\t\t\tuint16_t queue_id);\n static int iavf_dev_rx_queue_intr_disable(struct rte_eth_dev *dev,\n@@ -697,7 +697,7 @@ iavf_dev_allmulticast_disable(struct rte_eth_dev *dev)\n }\n \n static int\n-iavf_dev_add_mac_addr(struct rte_eth_dev *dev, struct ether_addr *addr,\n+iavf_dev_add_mac_addr(struct rte_eth_dev *dev, struct rte_ether_addr *addr,\n \t\t     __rte_unused uint32_t index,\n \t\t     __rte_unused uint32_t pool)\n {\n@@ -728,7 +728,7 @@ iavf_dev_del_mac_addr(struct rte_eth_dev *dev, uint32_t index)\n \tstruct iavf_adapter *adapter =\n \t\tIAVF_DEV_PRIVATE_TO_ADAPTER(dev->data->dev_private);\n \tstruct iavf_info *vf = IAVF_DEV_PRIVATE_TO_VF(adapter);\n-\tstruct ether_addr *addr;\n+\tstruct rte_ether_addr *addr;\n \tint err;\n \n \taddr = &dev->data->mac_addrs[index];\n@@ -940,16 +940,16 @@ iavf_dev_mtu_set(struct rte_eth_dev *dev, uint16_t mtu)\n \n static int\n iavf_dev_set_default_mac_addr(struct rte_eth_dev *dev,\n-\t\t\t     struct ether_addr *mac_addr)\n+\t\t\t     struct rte_ether_addr *mac_addr)\n {\n \tstruct iavf_adapter *adapter =\n \t\tIAVF_DEV_PRIVATE_TO_ADAPTER(dev->data->dev_private);\n \tstruct iavf_hw *hw = IAVF_DEV_PRIVATE_TO_HW(adapter);\n-\tstruct ether_addr *perm_addr, *old_addr;\n+\tstruct rte_ether_addr *perm_addr, *old_addr;\n \tint ret;\n \n-\told_addr = (struct ether_addr *)hw->mac.addr;\n-\tperm_addr = (struct ether_addr *)hw->mac.perm_addr;\n+\told_addr = (struct rte_ether_addr *)hw->mac.addr;\n+\tperm_addr = (struct rte_ether_addr *)hw->mac.perm_addr;\n \n \tif (is_same_ether_addr(mac_addr, old_addr))\n \t\treturn 0;\n@@ -983,7 +983,7 @@ iavf_dev_set_default_mac_addr(struct rte_eth_dev *dev,\n \tif (ret)\n \t\treturn -EIO;\n \n-\tether_addr_copy(mac_addr, (struct ether_addr *)hw->mac.addr);\n+\tether_addr_copy(mac_addr, (struct rte_ether_addr *)hw->mac.addr);\n \treturn 0;\n }\n \n@@ -1317,9 +1317,10 @@ iavf_dev_init(struct rte_eth_dev *eth_dev)\n \t/* If the MAC address is not configured by host,\n \t * generate a random one.\n \t */\n-\tif (!is_valid_assigned_ether_addr((struct ether_addr *)hw->mac.addr))\n+\tif (!is_valid_assigned_ether_addr(\n+\t\t\t(struct rte_ether_addr *)hw->mac.addr))\n \t\teth_random_addr(hw->mac.addr);\n-\tether_addr_copy((struct ether_addr *)hw->mac.addr,\n+\tether_addr_copy((struct rte_ether_addr *)hw->mac.addr,\n \t\t\t&eth_dev->data->mac_addrs[0]);\n \n \t/* register callback func to eal lib */\ndiff --git a/drivers/net/iavf/iavf_vchnl.c b/drivers/net/iavf/iavf_vchnl.c\nindex da6401d35..a705dbdfc 100644\n--- a/drivers/net/iavf/iavf_vchnl.c\n+++ b/drivers/net/iavf/iavf_vchnl.c\n@@ -636,7 +636,7 @@ iavf_add_del_all_mac_addr(struct iavf_adapter *adapter, bool add)\n {\n \tstruct virtchnl_ether_addr_list *list;\n \tstruct iavf_info *vf = IAVF_DEV_PRIVATE_TO_VF(adapter);\n-\tstruct ether_addr *addr;\n+\tstruct rte_ether_addr *addr;\n \tstruct iavf_cmd_info args;\n \tint len, err, i, j;\n \tint next_begin = 0;\n@@ -753,7 +753,7 @@ iavf_config_promisc(struct iavf_adapter *adapter,\n }\n \n int\n-iavf_add_del_eth_addr(struct iavf_adapter *adapter, struct ether_addr *addr,\n+iavf_add_del_eth_addr(struct iavf_adapter *adapter, struct rte_ether_addr *addr,\n \t\t     bool add)\n {\n \tstruct virtchnl_ether_addr_list *list;\ndiff --git a/drivers/net/ice/ice_ethdev.c b/drivers/net/ice/ice_ethdev.c\nindex bbaa7cf39..098570794 100644\n--- a/drivers/net/ice/ice_ethdev.c\n+++ b/drivers/net/ice/ice_ethdev.c\n@@ -55,9 +55,9 @@ static int ice_vlan_filter_set(struct rte_eth_dev *dev,\n \t\t\t       uint16_t vlan_id,\n \t\t\t       int on);\n static int ice_macaddr_set(struct rte_eth_dev *dev,\n-\t\t\t   struct ether_addr *mac_addr);\n+\t\t\t   struct rte_ether_addr *mac_addr);\n static int ice_macaddr_add(struct rte_eth_dev *dev,\n-\t\t\t   struct ether_addr *mac_addr,\n+\t\t\t   struct rte_ether_addr *mac_addr,\n \t\t\t   __rte_unused uint32_t index,\n \t\t\t   uint32_t pool);\n static void ice_macaddr_remove(struct rte_eth_dev *dev, uint32_t index);\n@@ -481,29 +481,30 @@ ice_init_mac_address(struct rte_eth_dev *dev)\n \tstruct ice_hw *hw = ICE_DEV_PRIVATE_TO_HW(dev->data->dev_private);\n \n \tif (!is_unicast_ether_addr\n-\t\t((struct ether_addr *)hw->port_info[0].mac.lan_addr)) {\n+\t\t((struct rte_ether_addr *)hw->port_info[0].mac.lan_addr)) {\n \t\tPMD_INIT_LOG(ERR, \"Invalid MAC address\");\n \t\treturn -EINVAL;\n \t}\n \n-\tether_addr_copy((struct ether_addr *)hw->port_info[0].mac.lan_addr,\n-\t\t\t(struct ether_addr *)hw->port_info[0].mac.perm_addr);\n+\tether_addr_copy((struct rte_ether_addr *)hw->port_info[0].mac.lan_addr,\n+\t\t(struct rte_ether_addr *)hw->port_info[0].mac.perm_addr);\n \n-\tdev->data->mac_addrs = rte_zmalloc(NULL, sizeof(struct ether_addr), 0);\n+\tdev->data->mac_addrs =\n+\t\trte_zmalloc(NULL, sizeof(struct rte_ether_addr), 0);\n \tif (!dev->data->mac_addrs) {\n \t\tPMD_INIT_LOG(ERR,\n \t\t\t     \"Failed to allocate memory to store mac address\");\n \t\treturn -ENOMEM;\n \t}\n \t/* store it to dev data */\n-\tether_addr_copy((struct ether_addr *)hw->port_info[0].mac.perm_addr,\n+\tether_addr_copy((struct rte_ether_addr *)hw->port_info[0].mac.perm_addr,\n \t\t\t&dev->data->mac_addrs[0]);\n \treturn 0;\n }\n \n /* Find out specific MAC filter */\n static struct ice_mac_filter *\n-ice_find_mac_filter(struct ice_vsi *vsi, struct ether_addr *macaddr)\n+ice_find_mac_filter(struct ice_vsi *vsi, struct rte_ether_addr *macaddr)\n {\n \tstruct ice_mac_filter *f;\n \n@@ -516,7 +517,7 @@ ice_find_mac_filter(struct ice_vsi *vsi, struct ether_addr *macaddr)\n }\n \n static int\n-ice_add_mac_filter(struct ice_vsi *vsi, struct ether_addr *mac_addr)\n+ice_add_mac_filter(struct ice_vsi *vsi, struct rte_ether_addr *mac_addr)\n {\n \tstruct ice_fltr_list_entry *m_list_itr = NULL;\n \tstruct ice_mac_filter *f;\n@@ -575,7 +576,7 @@ ice_add_mac_filter(struct ice_vsi *vsi, struct ether_addr *mac_addr)\n }\n \n static int\n-ice_remove_mac_filter(struct ice_vsi *vsi, struct ether_addr *mac_addr)\n+ice_remove_mac_filter(struct ice_vsi *vsi, struct rte_ether_addr *mac_addr)\n {\n \tstruct ice_fltr_list_entry *m_list_itr = NULL;\n \tstruct ice_mac_filter *f;\n@@ -1128,9 +1129,9 @@ ice_setup_vsi(struct ice_pf *pf, enum ice_vsi_type type)\n \tstruct ice_vsi *vsi = NULL;\n \tstruct ice_vsi_ctx vsi_ctx;\n \tint ret;\n-\tstruct ether_addr broadcast = {\n+\tstruct rte_ether_addr broadcast = {\n \t\t.addr_bytes = {0xff, 0xff, 0xff, 0xff, 0xff, 0xff} };\n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_addr mac_addr;\n \tuint16_t max_txqs[ICE_MAX_TRAFFIC_CLASS] = { 0 };\n \tuint8_t tc_bitmap = 0x1;\n \n@@ -2254,7 +2255,7 @@ ice_mtu_set(struct rte_eth_dev *dev, uint16_t mtu)\n }\n \n static int ice_macaddr_set(struct rte_eth_dev *dev,\n-\t\t\t   struct ether_addr *mac_addr)\n+\t\t\t   struct rte_ether_addr *mac_addr)\n {\n \tstruct ice_hw *hw = ICE_DEV_PRIVATE_TO_HW(dev->data->dev_private);\n \tstruct ice_pf *pf = ICE_DEV_PRIVATE_TO_PF(dev->data->dev_private);\n@@ -2301,7 +2302,7 @@ static int ice_macaddr_set(struct rte_eth_dev *dev,\n /* Add a MAC address, and update filters */\n static int\n ice_macaddr_add(struct rte_eth_dev *dev,\n-\t\tstruct ether_addr *mac_addr,\n+\t\tstruct rte_ether_addr *mac_addr,\n \t\t__rte_unused uint32_t index,\n \t\t__rte_unused uint32_t pool)\n {\n@@ -2325,7 +2326,7 @@ ice_macaddr_remove(struct rte_eth_dev *dev, uint32_t index)\n \tstruct ice_pf *pf = ICE_DEV_PRIVATE_TO_PF(dev->data->dev_private);\n \tstruct ice_vsi *vsi = pf->main_vsi;\n \tstruct rte_eth_dev_data *data = dev->data;\n-\tstruct ether_addr *macaddr;\n+\tstruct rte_ether_addr *macaddr;\n \tint ret;\n \n \tmacaddr = &data->mac_addrs[index];\ndiff --git a/drivers/net/ice/ice_ethdev.h b/drivers/net/ice/ice_ethdev.h\nindex f3ed02e73..4baaf9498 100644\n--- a/drivers/net/ice/ice_ethdev.h\n+++ b/drivers/net/ice/ice_ethdev.h\n@@ -130,7 +130,7 @@ struct ice_adapter;\n  * MAC filter structure\n  */\n struct ice_mac_filter_info {\n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_addr mac_addr;\n };\n \n TAILQ_HEAD(ice_mac_filter_list, ice_mac_filter);\n@@ -247,7 +247,7 @@ struct ice_pf {\n \tstruct ice_res_pool_info qp_pool;    /*Queue pair pool */\n \tstruct ice_res_pool_info msix_pool;  /* MSIX interrupt pool */\n \tstruct rte_eth_dev_data *dev_data; /* Pointer to the device data */\n-\tstruct ether_addr dev_addr; /* PF device mac address */\n+\tstruct rte_ether_addr dev_addr; /* PF device mac address */\n \tuint64_t flags; /* PF feature flags */\n \tuint16_t hash_lut_size; /* The size of hash lookup table */\n \tuint16_t lan_nb_qp_max;\ndiff --git a/drivers/net/ipn3ke/ipn3ke_ethdev.h b/drivers/net/ipn3ke/ipn3ke_ethdev.h\nindex bfda9d508..566bc7607 100644\n--- a/drivers/net/ipn3ke/ipn3ke_ethdev.h\n+++ b/drivers/net/ipn3ke/ipn3ke_ethdev.h\n@@ -245,7 +245,7 @@ struct ipn3ke_rpst {\n \tstruct rte_eth_link ori_linfo;\n \tstruct ipn3ke_tm_internals tm;\n \t/**< Private data store of assocaiated physical function */\n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_addr mac_addr;\n };\n \n /* UUID IDs */\n@@ -545,7 +545,7 @@ void\n ipn3ke_rpst_allmulticast_disable(struct rte_eth_dev *ethdev);\n int\n ipn3ke_rpst_mac_addr_set(struct rte_eth_dev *ethdev,\n-\t\tstruct ether_addr *mac_addr);\n+\t\tstruct rte_ether_addr *mac_addr);\n int\n ipn3ke_rpst_mtu_set(struct rte_eth_dev *ethdev, uint16_t mtu);\n \ndiff --git a/drivers/net/ipn3ke/ipn3ke_representor.c b/drivers/net/ipn3ke/ipn3ke_representor.c\nindex cf3b214a2..aee2aac6c 100644\n--- a/drivers/net/ipn3ke/ipn3ke_representor.c\n+++ b/drivers/net/ipn3ke/ipn3ke_representor.c\n@@ -124,7 +124,7 @@ ipn3ke_rpst_dev_start(struct rte_eth_dev *dev)\n \tsnprintf(attr_name, IPN3KE_RAWDEV_ATTR_LEN_MAX, \"%s\",\n \t\t\t\"LineSideBaseMAC\");\n \trawdev->dev_ops->attr_get(rawdev, attr_name, &base_mac);\n-\tether_addr_copy((struct ether_addr *)&base_mac, &rpst->mac_addr);\n+\tether_addr_copy((struct rte_ether_addr *)&base_mac, &rpst->mac_addr);\n \n \tether_addr_copy(&rpst->mac_addr, &dev->data->mac_addrs[0]);\n \tdev->data->mac_addrs->addr_bytes[ETHER_ADDR_LEN - 1] =\n@@ -650,7 +650,7 @@ ipn3ke_rpst_allmulticast_disable(struct rte_eth_dev *ethdev)\n \n int\n ipn3ke_rpst_mac_addr_set(struct rte_eth_dev *ethdev,\n-\t\t\t\tstruct ether_addr *mac_addr)\n+\t\t\t\tstruct rte_ether_addr *mac_addr)\n {\n \tstruct ipn3ke_hw *hw = IPN3KE_DEV_PRIVATE_TO_HW(ethdev);\n \tstruct ipn3ke_rpst *rpst = IPN3KE_DEV_PRIVATE_TO_RPST(ethdev);\ndiff --git a/drivers/net/ixgbe/ixgbe_ethdev.c b/drivers/net/ixgbe/ixgbe_ethdev.c\nindex 975fa474c..4d5fa87af 100644\n--- a/drivers/net/ixgbe/ixgbe_ethdev.c\n+++ b/drivers/net/ixgbe/ixgbe_ethdev.c\n@@ -223,11 +223,12 @@ static void ixgbe_dev_interrupt_handler(void *param);\n static void ixgbe_dev_interrupt_delayed_handler(void *param);\n static void ixgbe_dev_setup_link_alarm_handler(void *param);\n \n-static int ixgbe_add_rar(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n-\t\t\t uint32_t index, uint32_t pool);\n+static int ixgbe_add_rar(struct rte_eth_dev *dev,\n+\t\t\tstruct rte_ether_addr *mac_addr,\n+\t\t\tuint32_t index, uint32_t pool);\n static void ixgbe_remove_rar(struct rte_eth_dev *dev, uint32_t index);\n static int ixgbe_set_default_mac_addr(struct rte_eth_dev *dev,\n-\t\t\t\t\t   struct ether_addr *mac_addr);\n+\t\t\t\t\t   struct rte_ether_addr *mac_addr);\n static void ixgbe_dcb_init(struct ixgbe_hw *hw, struct ixgbe_dcb_config *dcb_config);\n static bool is_device_supported(struct rte_eth_dev *dev,\n \t\t\t\tstruct rte_pci_driver *drv);\n@@ -268,7 +269,7 @@ static void ixgbevf_dev_allmulticast_disable(struct rte_eth_dev *dev);\n \n /* For Eth VMDQ APIs support */\n static int ixgbe_uc_hash_table_set(struct rte_eth_dev *dev, struct\n-\t\tether_addr * mac_addr, uint8_t on);\n+\t\trte_ether_addr * mac_addr, uint8_t on);\n static int ixgbe_uc_all_hash_table_set(struct rte_eth_dev *dev, uint8_t on);\n static int ixgbe_mirror_rule_set(struct rte_eth_dev *dev,\n \t\tstruct rte_eth_mirror_conf *mirror_conf,\n@@ -284,11 +285,11 @@ static void ixgbe_set_ivar_map(struct ixgbe_hw *hw, int8_t direction,\n static void ixgbe_configure_msix(struct rte_eth_dev *dev);\n \n static int ixgbevf_add_mac_addr(struct rte_eth_dev *dev,\n-\t\t\t\tstruct ether_addr *mac_addr,\n+\t\t\t\tstruct rte_ether_addr *mac_addr,\n \t\t\t\tuint32_t index, uint32_t pool);\n static void ixgbevf_remove_mac_addr(struct rte_eth_dev *dev, uint32_t index);\n static int ixgbevf_set_default_mac_addr(struct rte_eth_dev *dev,\n-\t\t\t\t\t     struct ether_addr *mac_addr);\n+\t\t\t\t\t     struct rte_ether_addr *mac_addr);\n static int ixgbe_syn_filter_get(struct rte_eth_dev *dev,\n \t\t\tstruct rte_eth_syn_filter *filter);\n static int ixgbe_syn_filter_handle(struct rte_eth_dev *dev,\n@@ -315,7 +316,7 @@ static int ixgbe_dev_filter_ctrl(struct rte_eth_dev *dev,\n static int ixgbevf_dev_set_mtu(struct rte_eth_dev *dev, uint16_t mtu);\n \n static int ixgbe_dev_set_mc_addr_list(struct rte_eth_dev *dev,\n-\t\t\t\t      struct ether_addr *mc_addr_set,\n+\t\t\t\t      struct rte_ether_addr *mc_addr_set,\n \t\t\t\t      uint32_t nb_mc_addr);\n static int ixgbe_dev_get_dcb_info(struct rte_eth_dev *dev,\n \t\t\t\t   struct rte_eth_dcb_info *dcb_info);\n@@ -1222,7 +1223,7 @@ eth_ixgbe_dev_init(struct rte_eth_dev *eth_dev, void *init_params __rte_unused)\n \t\treturn -ENOMEM;\n \t}\n \t/* Copy the permanent MAC address */\n-\tether_addr_copy((struct ether_addr *) hw->mac.perm_addr,\n+\tether_addr_copy((struct rte_ether_addr *)hw->mac.perm_addr,\n \t\t\t&eth_dev->data->mac_addrs[0]);\n \n \t/* Allocate memory for storing hash filter MAC addresses */\n@@ -1530,7 +1531,7 @@ ixgbevf_negotiate_api(struct ixgbe_hw *hw)\n }\n \n static void\n-generate_random_mac_addr(struct ether_addr *mac_addr)\n+generate_random_mac_addr(struct rte_ether_addr *mac_addr)\n {\n \tuint64_t random;\n \n@@ -1561,7 +1562,8 @@ eth_ixgbevf_dev_init(struct rte_eth_dev *eth_dev)\n \t\tIXGBE_DEV_PRIVATE_TO_VFTA(eth_dev->data->dev_private);\n \tstruct ixgbe_hwstrip *hwstrip =\n \t\tIXGBE_DEV_PRIVATE_TO_HWSTRIP_BITMAP(eth_dev->data->dev_private);\n-\tstruct ether_addr *perm_addr = (struct ether_addr *) hw->mac.perm_addr;\n+\tstruct rte_ether_addr *perm_addr =\n+\t\t(struct rte_ether_addr *)hw->mac.perm_addr;\n \n \tPMD_INIT_FUNC_TRACE();\n \n@@ -4898,7 +4900,7 @@ ixgbe_dev_rss_reta_query(struct rte_eth_dev *dev,\n }\n \n static int\n-ixgbe_add_rar(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n+ixgbe_add_rar(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr,\n \t\t\t\tuint32_t index, uint32_t pool)\n {\n \tstruct ixgbe_hw *hw = IXGBE_DEV_PRIVATE_TO_HW(dev->data->dev_private);\n@@ -4917,7 +4919,7 @@ ixgbe_remove_rar(struct rte_eth_dev *dev, uint32_t index)\n }\n \n static int\n-ixgbe_set_default_mac_addr(struct rte_eth_dev *dev, struct ether_addr *addr)\n+ixgbe_set_default_mac_addr(struct rte_eth_dev *dev, struct rte_ether_addr *addr)\n {\n \tstruct rte_pci_device *pci_dev = RTE_ETH_DEV_TO_PCI(dev);\n \n@@ -5367,7 +5369,7 @@ ixgbe_vt_check(struct ixgbe_hw *hw)\n }\n \n static uint32_t\n-ixgbe_uta_vector(struct ixgbe_hw *hw, struct ether_addr *uc_addr)\n+ixgbe_uta_vector(struct ixgbe_hw *hw, struct rte_ether_addr *uc_addr)\n {\n \tuint32_t vector = 0;\n \n@@ -5398,8 +5400,8 @@ ixgbe_uta_vector(struct ixgbe_hw *hw, struct ether_addr *uc_addr)\n }\n \n static int\n-ixgbe_uc_hash_table_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n-\t\t\tuint8_t on)\n+ixgbe_uc_hash_table_set(struct rte_eth_dev *dev,\n+\t\t\tstruct rte_ether_addr *mac_addr, uint8_t on)\n {\n \tuint32_t vector;\n \tuint32_t uta_idx;\n@@ -6030,7 +6032,7 @@ ixgbe_set_queue_rate_limit(struct rte_eth_dev *dev,\n }\n \n static int\n-ixgbevf_add_mac_addr(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n+ixgbevf_add_mac_addr(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr,\n \t\t     __attribute__((unused)) uint32_t index,\n \t\t     __attribute__((unused)) uint32_t pool)\n {\n@@ -6042,7 +6044,8 @@ ixgbevf_add_mac_addr(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n \t * operation. Trap this case to avoid exhausting the [very limited]\n \t * set of PF resources used to store VF MAC addresses.\n \t */\n-\tif (memcmp(hw->mac.perm_addr, mac_addr, sizeof(struct ether_addr)) == 0)\n+\tif (memcmp(hw->mac.perm_addr, mac_addr,\n+\t\t\tsizeof(struct rte_ether_addr)) == 0)\n \t\treturn -1;\n \tdiag = ixgbevf_set_uc_addr_vf(hw, 2, mac_addr->addr_bytes);\n \tif (diag != 0)\n@@ -6062,8 +6065,9 @@ static void\n ixgbevf_remove_mac_addr(struct rte_eth_dev *dev, uint32_t index)\n {\n \tstruct ixgbe_hw *hw = IXGBE_DEV_PRIVATE_TO_HW(dev->data->dev_private);\n-\tstruct ether_addr *perm_addr = (struct ether_addr *) hw->mac.perm_addr;\n-\tstruct ether_addr *mac_addr;\n+\tstruct rte_ether_addr *perm_addr =\n+\t\t(struct rte_ether_addr *)hw->mac.perm_addr;\n+\tstruct rte_ether_addr *mac_addr;\n \tuint32_t i;\n \tint diag;\n \n@@ -6088,7 +6092,8 @@ ixgbevf_remove_mac_addr(struct rte_eth_dev *dev, uint32_t index)\n \t\tif (is_zero_ether_addr(mac_addr))\n \t\t\tcontinue;\n \t\t/* Skip the permanent MAC address */\n-\t\tif (memcmp(perm_addr, mac_addr, sizeof(struct ether_addr)) == 0)\n+\t\tif (memcmp(perm_addr, mac_addr,\n+\t\t\t\tsizeof(struct rte_ether_addr)) == 0)\n \t\t\tcontinue;\n \t\tdiag = ixgbevf_set_uc_addr_vf(hw, 2, mac_addr->addr_bytes);\n \t\tif (diag != 0)\n@@ -6107,7 +6112,8 @@ ixgbevf_remove_mac_addr(struct rte_eth_dev *dev, uint32_t index)\n }\n \n static int\n-ixgbevf_set_default_mac_addr(struct rte_eth_dev *dev, struct ether_addr *addr)\n+ixgbevf_set_default_mac_addr(struct rte_eth_dev *dev,\n+\t\t\tstruct rte_ether_addr *addr)\n {\n \tstruct ixgbe_hw *hw = IXGBE_DEV_PRIVATE_TO_HW(dev->data->dev_private);\n \n@@ -6836,13 +6842,13 @@ ixgbe_dev_addr_list_itr(__attribute__((unused)) struct ixgbe_hw *hw,\n \n \t*vmdq = 0;\n \tmc_addr = *mc_addr_ptr;\n-\t*mc_addr_ptr = (mc_addr + sizeof(struct ether_addr));\n+\t*mc_addr_ptr = (mc_addr + sizeof(struct rte_ether_addr));\n \treturn mc_addr;\n }\n \n static int\n ixgbe_dev_set_mc_addr_list(struct rte_eth_dev *dev,\n-\t\t\t  struct ether_addr *mc_addr_set,\n+\t\t\t  struct rte_ether_addr *mc_addr_set,\n \t\t\t  uint32_t nb_mc_addr)\n {\n \tstruct ixgbe_hw *hw;\ndiff --git a/drivers/net/ixgbe/ixgbe_pf.c b/drivers/net/ixgbe/ixgbe_pf.c\nindex fafff6b03..6180c9473 100644\n--- a/drivers/net/ixgbe/ixgbe_pf.c\n+++ b/drivers/net/ixgbe/ixgbe_pf.c\n@@ -463,7 +463,7 @@ ixgbe_vf_set_mac_addr(struct rte_eth_dev *dev, uint32_t vf, uint32_t *msgbuf)\n \tint rar_entry = hw->mac.num_rar_entries - (vf + 1);\n \tuint8_t *new_mac = (uint8_t *)(&msgbuf[1]);\n \n-\tif (is_valid_assigned_ether_addr((struct ether_addr *)new_mac)) {\n+\tif (is_valid_assigned_ether_addr((struct rte_ether_addr *)new_mac)) {\n \t\trte_memcpy(vfinfo[vf].vf_mac_addresses, new_mac, 6);\n \t\treturn hw->mac.ops.set_rar(hw, rar_entry, new_mac, vf, IXGBE_RAH_AV);\n \t}\ndiff --git a/drivers/net/ixgbe/ixgbe_vf_representor.c b/drivers/net/ixgbe/ixgbe_vf_representor.c\nindex 5d2e3e023..2c01f6e33 100644\n--- a/drivers/net/ixgbe/ixgbe_vf_representor.c\n+++ b/drivers/net/ixgbe/ixgbe_vf_representor.c\n@@ -25,7 +25,7 @@ ixgbe_vf_representor_link_update(struct rte_eth_dev *ethdev,\n \n static int\n ixgbe_vf_representor_mac_addr_set(struct rte_eth_dev *ethdev,\n-\tstruct ether_addr *mac_addr)\n+\tstruct rte_ether_addr *mac_addr)\n {\n \tstruct ixgbe_vf_representor *representor = ethdev->data->dev_private;\n \n@@ -211,7 +211,7 @@ ixgbe_vf_representor_init(struct rte_eth_dev *ethdev, void *init_params)\n \tvf_data = *IXGBE_DEV_PRIVATE_TO_P_VFDATA(\n \t\trepresentor->pf_ethdev->data->dev_private);\n \n-\tethdev->data->mac_addrs = (struct ether_addr *)\n+\tethdev->data->mac_addrs = (struct rte_ether_addr *)\n \t\tvf_data[representor->vf_id].vf_mac_addresses;\n \n \t/* Link state. Inherited from PF */\ndiff --git a/drivers/net/ixgbe/rte_pmd_ixgbe.c b/drivers/net/ixgbe/rte_pmd_ixgbe.c\nindex 3a874f9a9..3defba175 100644\n--- a/drivers/net/ixgbe/rte_pmd_ixgbe.c\n+++ b/drivers/net/ixgbe/rte_pmd_ixgbe.c\n@@ -11,7 +11,7 @@\n \n int\n rte_pmd_ixgbe_set_vf_mac_addr(uint16_t port, uint16_t vf,\n-\t\t\t      struct ether_addr *mac_addr)\n+\t\t\t      struct rte_ether_addr *mac_addr)\n {\n \tstruct ixgbe_hw *hw;\n \tstruct ixgbe_vf_info *vfinfo;\n@@ -35,7 +35,7 @@ rte_pmd_ixgbe_set_vf_mac_addr(uint16_t port, uint16_t vf,\n \tvfinfo = *(IXGBE_DEV_PRIVATE_TO_P_VFDATA(dev->data->dev_private));\n \trar_entry = hw->mac.num_rar_entries - (vf + 1);\n \n-\tif (is_valid_assigned_ether_addr((struct ether_addr *)new_mac)) {\n+\tif (is_valid_assigned_ether_addr((struct rte_ether_addr *)new_mac)) {\n \t\trte_memcpy(vfinfo[vf].vf_mac_addresses, new_mac,\n \t\t\t   ETHER_ADDR_LEN);\n \t\treturn hw->mac.ops.set_rar(hw, rar_entry, new_mac, vf,\ndiff --git a/drivers/net/ixgbe/rte_pmd_ixgbe.h b/drivers/net/ixgbe/rte_pmd_ixgbe.h\nindex 72a941f9d..84c688472 100644\n--- a/drivers/net/ixgbe/rte_pmd_ixgbe.h\n+++ b/drivers/net/ixgbe/rte_pmd_ixgbe.h\n@@ -42,7 +42,7 @@ int rte_pmd_ixgbe_ping_vf(uint16_t port, uint16_t vf);\n  *   - (-EINVAL) if *vf* or *mac_addr* is invalid.\n  */\n int rte_pmd_ixgbe_set_vf_mac_addr(uint16_t port, uint16_t vf,\n-\t\tstruct ether_addr *mac_addr);\n+\t\tstruct rte_ether_addr *mac_addr);\n \n /**\n  * Enable/Disable VF VLAN anti spoofing.\ndiff --git a/drivers/net/kni/rte_eth_kni.c b/drivers/net/kni/rte_eth_kni.c\nindex 1f232e4da..180cfd6c9 100644\n--- a/drivers/net/kni/rte_eth_kni.c\n+++ b/drivers/net/kni/rte_eth_kni.c\n@@ -54,7 +54,7 @@ struct pmd_internals {\n \tint stop_thread;\n \tint no_request_thread;\n \n-\tstruct ether_addr eth_addr;\n+\tstruct rte_ether_addr eth_addr;\n \n \tstruct pmd_queue rx_queues[KNI_MAX_QUEUE_PER_PORT];\n \tstruct pmd_queue tx_queues[KNI_MAX_QUEUE_PER_PORT];\ndiff --git a/drivers/net/liquidio/lio_ethdev.c b/drivers/net/liquidio/lio_ethdev.c\nindex c9cabd65a..de234e903 100644\n--- a/drivers/net/liquidio/lio_ethdev.c\n+++ b/drivers/net/liquidio/lio_ethdev.c\n@@ -1835,7 +1835,8 @@ lio_dev_configure(struct rte_eth_dev *eth_dev)\n \t\t\t\t       2 + i));\n \n \t/* Copy the permanent MAC address */\n-\tether_addr_copy((struct ether_addr *)mac, &eth_dev->data->mac_addrs[0]);\n+\tether_addr_copy((struct rte_ether_addr *)mac,\n+\t\t\t&eth_dev->data->mac_addrs[0]);\n \n \t/* enable firmware checksum support for tunnel packets */\n \tlio_enable_hw_tunnel_rx_checksum(eth_dev);\ndiff --git a/drivers/net/mlx4/mlx4.c b/drivers/net/mlx4/mlx4.c\nindex fe559c040..460c10f89 100644\n--- a/drivers/net/mlx4/mlx4.c\n+++ b/drivers/net/mlx4/mlx4.c\n@@ -841,7 +841,7 @@ mlx4_pci_probe(struct rte_pci_driver *pci_drv, struct rte_pci_device *pci_dev)\n \t\tstruct ibv_pd *pd = NULL;\n \t\tstruct mlx4_priv *priv = NULL;\n \t\tstruct rte_eth_dev *eth_dev = NULL;\n-\t\tstruct ether_addr mac;\n+\t\tstruct rte_ether_addr mac;\n \t\tchar name[RTE_ETH_NAME_MAX_LEN];\n \n \t\t/* If port is not enabled, skip. */\ndiff --git a/drivers/net/mlx4/mlx4.h b/drivers/net/mlx4/mlx4.h\nindex e2d184f84..4e9bb9c1a 100644\n--- a/drivers/net/mlx4/mlx4.h\n+++ b/drivers/net/mlx4/mlx4.h\n@@ -186,7 +186,7 @@ struct mlx4_priv {\n \t} mr;\n \tLIST_HEAD(, mlx4_rss) rss; /**< Shared targets for Rx flow rules. */\n \tLIST_HEAD(, rte_flow) flows; /**< Configured flow rule handles. */\n-\tstruct ether_addr mac[MLX4_MAX_MAC_ADDRESSES];\n+\tstruct rte_ether_addr mac[MLX4_MAX_MAC_ADDRESSES];\n \t/**< Configured MAC addresses. Unused entries are zeroed. */\n \tuint32_t mac_mc; /**< Number of trailing multicast entries in mac[]. */\n \tstruct mlx4_verbs_alloc_ctx verbs_alloc_ctx;\n@@ -209,10 +209,10 @@ void mlx4_promiscuous_disable(struct rte_eth_dev *dev);\n void mlx4_allmulticast_enable(struct rte_eth_dev *dev);\n void mlx4_allmulticast_disable(struct rte_eth_dev *dev);\n void mlx4_mac_addr_remove(struct rte_eth_dev *dev, uint32_t index);\n-int mlx4_mac_addr_add(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n+int mlx4_mac_addr_add(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr,\n \t\t      uint32_t index, uint32_t vmdq);\n-int mlx4_mac_addr_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr);\n-int mlx4_set_mc_addr_list(struct rte_eth_dev *dev, struct ether_addr *list,\n+int mlx4_mac_addr_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr);\n+int mlx4_set_mc_addr_list(struct rte_eth_dev *dev, struct rte_ether_addr *list,\n \t\t\t  uint32_t num);\n int mlx4_vlan_filter_set(struct rte_eth_dev *dev, uint16_t vlan_id, int on);\n int mlx4_stats_get(struct rte_eth_dev *dev, struct rte_eth_stats *stats);\ndiff --git a/drivers/net/mlx4/mlx4_ethdev.c b/drivers/net/mlx4/mlx4_ethdev.c\nindex 765affc34..6995c14a5 100644\n--- a/drivers/net/mlx4/mlx4_ethdev.c\n+++ b/drivers/net/mlx4/mlx4_ethdev.c\n@@ -463,7 +463,7 @@ mlx4_mac_addr_remove(struct rte_eth_dev *dev, uint32_t index)\n  *   0 on success, negative errno value otherwise and rte_errno is set.\n  */\n int\n-mlx4_mac_addr_add(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n+mlx4_mac_addr_add(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr,\n \t\t  uint32_t index, uint32_t vmdq)\n {\n \tstruct mlx4_priv *priv = dev->data->dev_private;\n@@ -501,7 +501,7 @@ mlx4_mac_addr_add(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n  *   0 on success, negative errno value otherwise and rte_errno is set.\n  */\n int\n-mlx4_set_mc_addr_list(struct rte_eth_dev *dev, struct ether_addr *list,\n+mlx4_set_mc_addr_list(struct rte_eth_dev *dev, struct rte_ether_addr *list,\n \t\t      uint32_t num)\n {\n \tstruct mlx4_priv *priv = dev->data->dev_private;\n@@ -598,7 +598,7 @@ mlx4_vlan_filter_set(struct rte_eth_dev *dev, uint16_t vlan_id, int on)\n  *   0 on success, negative errno value otherwise and rte_errno is set.\n  */\n int\n-mlx4_mac_addr_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr)\n+mlx4_mac_addr_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr)\n {\n \treturn mlx4_mac_addr_add(dev, mac_addr, 0, 0);\n }\ndiff --git a/drivers/net/mlx4/mlx4_flow.c b/drivers/net/mlx4/mlx4_flow.c\nindex 1dcdb31a0..78bd452bd 100644\n--- a/drivers/net/mlx4/mlx4_flow.c\n+++ b/drivers/net/mlx4/mlx4_flow.c\n@@ -1354,7 +1354,7 @@ mlx4_flow_internal(struct mlx4_priv *priv, struct rte_flow_error *error)\n \t\t\t.type = RTE_FLOW_ACTION_TYPE_END,\n \t\t},\n \t};\n-\tstruct ether_addr *rule_mac = &eth_spec.dst;\n+\tstruct rte_ether_addr *rule_mac = &eth_spec.dst;\n \trte_be16_t *rule_vlan =\n \t\t(ETH_DEV(priv)->data->dev_conf.rxmode.offloads &\n \t\t DEV_RX_OFFLOAD_VLAN_FILTER) &&\n@@ -1391,7 +1391,7 @@ mlx4_flow_internal(struct mlx4_priv *priv, struct rte_flow_error *error)\n \t\t}\n \t}\n \tfor (i = 0; i != RTE_DIM(priv->mac) + 1; ++i) {\n-\t\tconst struct ether_addr *mac;\n+\t\tconst struct rte_ether_addr *mac;\n \n \t\t/* Broadcasts are handled by an extra iteration. */\n \t\tif (i < RTE_DIM(priv->mac))\ndiff --git a/drivers/net/mlx5/mlx5.c b/drivers/net/mlx5/mlx5.c\nindex 9f5ec97ec..2798e0e95 100644\n--- a/drivers/net/mlx5/mlx5.c\n+++ b/drivers/net/mlx5/mlx5.c\n@@ -1087,7 +1087,7 @@ mlx5_dev_spawn(struct rte_device *dpdk_dev,\n \tunsigned int mprq_max_stride_size_n = 0;\n \tunsigned int mprq_min_stride_num_n = 0;\n \tunsigned int mprq_max_stride_num_n = 0;\n-\tstruct ether_addr mac;\n+\tstruct rte_ether_addr mac;\n \tchar name[RTE_ETH_NAME_MAX_LEN];\n \tint own_domain_id = 0;\n \tuint16_t port_id;\ndiff --git a/drivers/net/mlx5/mlx5.h b/drivers/net/mlx5/mlx5.h\nindex 3eaaafd5e..80df971e5 100644\n--- a/drivers/net/mlx5/mlx5.h\n+++ b/drivers/net/mlx5/mlx5.h\n@@ -327,7 +327,7 @@ struct mlx5_priv {\n \tstruct rte_eth_dev_data *dev_data;  /* Pointer to device data. */\n \tstruct mlx5_ibv_shared *sh; /* Shared IB device context. */\n \tuint32_t ibv_port; /* IB device port number. */\n-\tstruct ether_addr mac[MLX5_MAX_MAC_ADDRESSES]; /* MAC addresses. */\n+\tstruct rte_ether_addr mac[MLX5_MAX_MAC_ADDRESSES]; /* MAC addresses. */\n \tBITFIELD_DECLARE(mac_own, uint64_t, MLX5_MAX_MAC_ADDRESSES);\n \t/* Bit-field of MAC addresses owned by the PMD. */\n \tuint16_t vlan_filter[MLX5_MAX_VLAN_IDS]; /* VLAN filters table. */\n@@ -442,11 +442,12 @@ void mlx5_translate_port_name(const char *port_name_in,\n \n int mlx5_get_mac(struct rte_eth_dev *dev, uint8_t (*mac)[ETHER_ADDR_LEN]);\n void mlx5_mac_addr_remove(struct rte_eth_dev *dev, uint32_t index);\n-int mlx5_mac_addr_add(struct rte_eth_dev *dev, struct ether_addr *mac,\n+int mlx5_mac_addr_add(struct rte_eth_dev *dev, struct rte_ether_addr *mac,\n \t\t      uint32_t index, uint32_t vmdq);\n-int mlx5_mac_addr_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr);\n+int mlx5_mac_addr_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr);\n int mlx5_set_mc_addr_list(struct rte_eth_dev *dev,\n-\t\t\t  struct ether_addr *mc_addr_set, uint32_t nb_mc_addr);\n+\t\t\tstruct rte_ether_addr *mc_addr_set,\n+\t\t\tuint32_t nb_mc_addr);\n \n /* mlx5_rss.c */\n \n@@ -549,9 +550,9 @@ void mlx5_mp_uninit_secondary(void);\n /* mlx5_nl.c */\n \n int mlx5_nl_init(int protocol);\n-int mlx5_nl_mac_addr_add(struct rte_eth_dev *dev, struct ether_addr *mac,\n+int mlx5_nl_mac_addr_add(struct rte_eth_dev *dev, struct rte_ether_addr *mac,\n \t\t\t uint32_t index);\n-int mlx5_nl_mac_addr_remove(struct rte_eth_dev *dev, struct ether_addr *mac,\n+int mlx5_nl_mac_addr_remove(struct rte_eth_dev *dev, struct rte_ether_addr *mac,\n \t\t\t    uint32_t index);\n void mlx5_nl_mac_addr_sync(struct rte_eth_dev *dev);\n void mlx5_nl_mac_addr_flush(struct rte_eth_dev *dev);\ndiff --git a/drivers/net/mlx5/mlx5_flow_dv.c b/drivers/net/mlx5/mlx5_flow_dv.c\nindex cf255ca95..e8560fd42 100644\n--- a/drivers/net/mlx5/mlx5_flow_dv.c\n+++ b/drivers/net/mlx5/mlx5_flow_dv.c\n@@ -1211,13 +1211,13 @@ static int\n flow_dv_convert_encap_data(const struct rte_flow_item *items, uint8_t *buf,\n \t\t\t   size_t *size, struct rte_flow_error *error)\n {\n-\tstruct ether_hdr *eth = NULL;\n-\tstruct vlan_hdr *vlan = NULL;\n+\tstruct rte_ether_hdr *eth = NULL;\n+\tstruct rte_vlan_hdr *vlan = NULL;\n \tstruct ipv4_hdr *ipv4 = NULL;\n \tstruct ipv6_hdr *ipv6 = NULL;\n \tstruct udp_hdr *udp = NULL;\n-\tstruct vxlan_hdr *vxlan = NULL;\n-\tstruct vxlan_gpe_hdr *vxlan_gpe = NULL;\n+\tstruct rte_vxlan_hdr *vxlan = NULL;\n+\tstruct rte_vxlan_gpe_hdr *vxlan_gpe = NULL;\n \tstruct gre_hdr *gre = NULL;\n \tsize_t len;\n \tsize_t temp_size = 0;\n@@ -1237,10 +1237,10 @@ flow_dv_convert_encap_data(const struct rte_flow_item *items, uint8_t *buf,\n \t\trte_memcpy((void *)&buf[temp_size], items->spec, len);\n \t\tswitch (items->type) {\n \t\tcase RTE_FLOW_ITEM_TYPE_ETH:\n-\t\t\teth = (struct ether_hdr *)&buf[temp_size];\n+\t\t\teth = (struct rte_ether_hdr *)&buf[temp_size];\n \t\t\tbreak;\n \t\tcase RTE_FLOW_ITEM_TYPE_VLAN:\n-\t\t\tvlan = (struct vlan_hdr *)&buf[temp_size];\n+\t\t\tvlan = (struct rte_vlan_hdr *)&buf[temp_size];\n \t\t\tif (!eth)\n \t\t\t\treturn rte_flow_error_set(error, EINVAL,\n \t\t\t\t\t\tRTE_FLOW_ERROR_TYPE_ACTION,\n@@ -1298,7 +1298,7 @@ flow_dv_convert_encap_data(const struct rte_flow_item *items, uint8_t *buf,\n \t\t\t\tipv6->proto = IPPROTO_UDP;\n \t\t\tbreak;\n \t\tcase RTE_FLOW_ITEM_TYPE_VXLAN:\n-\t\t\tvxlan = (struct vxlan_hdr *)&buf[temp_size];\n+\t\t\tvxlan = (struct rte_vxlan_hdr *)&buf[temp_size];\n \t\t\tif (!udp)\n \t\t\t\treturn rte_flow_error_set(error, EINVAL,\n \t\t\t\t\t\tRTE_FLOW_ERROR_TYPE_ACTION,\n@@ -1311,7 +1311,7 @@ flow_dv_convert_encap_data(const struct rte_flow_item *items, uint8_t *buf,\n \t\t\t\t\tRTE_BE32(MLX5_ENCAP_VXLAN_FLAGS);\n \t\t\tbreak;\n \t\tcase RTE_FLOW_ITEM_TYPE_VXLAN_GPE:\n-\t\t\tvxlan_gpe = (struct vxlan_gpe_hdr *)&buf[temp_size];\n+\t\t\tvxlan_gpe = (struct rte_vxlan_gpe_hdr *)&buf[temp_size];\n \t\t\tif (!udp)\n \t\t\t\treturn rte_flow_error_set(error, EINVAL,\n \t\t\t\t\t\tRTE_FLOW_ERROR_TYPE_ACTION,\ndiff --git a/drivers/net/mlx5/mlx5_flow_tcf.c b/drivers/net/mlx5/mlx5_flow_tcf.c\nindex fc04c9d88..789748d08 100644\n--- a/drivers/net/mlx5/mlx5_flow_tcf.c\n+++ b/drivers/net/mlx5/mlx5_flow_tcf.c\n@@ -402,7 +402,7 @@ struct mlx5_flow_tcf_context {\n struct tcf_neigh_rule {\n \tLIST_ENTRY(tcf_neigh_rule) next;\n \tuint32_t refcnt;\n-\tstruct ether_addr eth;\n+\tstruct rte_ether_addr eth;\n \tuint16_t mask;\n \tunion {\n \t\tstruct {\n@@ -475,8 +475,8 @@ struct flow_tcf_vxlan_encap {\n \tuint8_t ip_tos;\n \tuint8_t ip_ttl_hop;\n \tstruct {\n-\t\tstruct ether_addr dst;\n-\t\tstruct ether_addr src;\n+\t\tstruct rte_ether_addr dst;\n+\t\tstruct rte_ether_addr src;\n \t} eth;\n \tunion {\n \t\tstruct {\n@@ -689,8 +689,8 @@ flow_tcf_pedit_key_set_mac(const struct rte_flow_action *actions,\n {\n \tint idx = p_parser->sel.nkeys;\n \tuint32_t off = actions->type == RTE_FLOW_ACTION_TYPE_SET_MAC_SRC ?\n-\t\t\t\t\toffsetof(struct ether_hdr, s_addr) :\n-\t\t\t\t\toffsetof(struct ether_hdr, d_addr);\n+\t\t\t\t\toffsetof(struct rte_ether_hdr, s_addr) :\n+\t\t\t\t\toffsetof(struct rte_ether_hdr, d_addr);\n \tconst struct rte_flow_action_set_mac *conf =\n \t\t(const struct rte_flow_action_set_mac *)actions->conf;\n \ndiff --git a/drivers/net/mlx5/mlx5_mac.c b/drivers/net/mlx5/mlx5_mac.c\nindex bce026f98..9f6b89a82 100644\n--- a/drivers/net/mlx5/mlx5_mac.c\n+++ b/drivers/net/mlx5/mlx5_mac.c\n@@ -76,7 +76,7 @@ mlx5_internal_mac_addr_remove(struct rte_eth_dev *dev, uint32_t index)\n \tif (vf)\n \t\tmlx5_nl_mac_addr_remove(dev, &dev->data->mac_addrs[index],\n \t\t\t\t\tindex);\n-\tmemset(&dev->data->mac_addrs[index], 0, sizeof(struct ether_addr));\n+\tmemset(&dev->data->mac_addrs[index], 0, sizeof(struct rte_ether_addr));\n }\n \n /**\n@@ -93,7 +93,7 @@ mlx5_internal_mac_addr_remove(struct rte_eth_dev *dev, uint32_t index)\n  *   0 on success, a negative errno value otherwise and rte_errno is set.\n  */\n static int\n-mlx5_internal_mac_addr_add(struct rte_eth_dev *dev, struct ether_addr *mac,\n+mlx5_internal_mac_addr_add(struct rte_eth_dev *dev, struct rte_ether_addr *mac,\n \t\t\t   uint32_t index)\n {\n \tstruct mlx5_priv *priv = dev->data->dev_private;\n@@ -166,7 +166,7 @@ mlx5_mac_addr_remove(struct rte_eth_dev *dev, uint32_t index)\n  *   0 on success, a negative errno value otherwise and rte_errno is set.\n  */\n int\n-mlx5_mac_addr_add(struct rte_eth_dev *dev, struct ether_addr *mac,\n+mlx5_mac_addr_add(struct rte_eth_dev *dev, struct rte_ether_addr *mac,\n \t\t  uint32_t index, uint32_t vmdq __rte_unused)\n {\n \tint ret;\n@@ -195,7 +195,7 @@ mlx5_mac_addr_add(struct rte_eth_dev *dev, struct ether_addr *mac,\n  *   0 on success, a negative errno value otherwise and rte_errno is set.\n  */\n int\n-mlx5_mac_addr_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr)\n+mlx5_mac_addr_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr)\n {\n \tDRV_LOG(DEBUG, \"port %u setting primary MAC address\",\n \t\tdev->data->port_id);\n@@ -209,7 +209,7 @@ mlx5_mac_addr_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr)\n  */\n int\n mlx5_set_mc_addr_list(struct rte_eth_dev *dev,\n-\t\t      struct ether_addr *mc_addr_set, uint32_t nb_mc_addr)\n+\t\t      struct rte_ether_addr *mc_addr_set, uint32_t nb_mc_addr)\n {\n \tuint32_t i;\n \tint ret;\ndiff --git a/drivers/net/mlx5/mlx5_nl.c b/drivers/net/mlx5/mlx5_nl.c\nindex 0ff96671f..ce4c93748 100644\n--- a/drivers/net/mlx5/mlx5_nl.c\n+++ b/drivers/net/mlx5/mlx5_nl.c\n@@ -80,7 +80,7 @@\n \n /* Add/remove MAC address through Netlink */\n struct mlx5_nl_mac_addr {\n-\tstruct ether_addr (*mac)[];\n+\tstruct rte_ether_addr (*mac)[];\n \t/**< MAC address handled by the device. */\n \tint mac_n; /**< Number of addresses in the array. */\n };\n@@ -365,7 +365,7 @@ mlx5_nl_mac_addr_cb(struct nlmsghdr *nh, void *arg)\n  *   0 on success, a negative errno value otherwise and rte_errno is set.\n  */\n static int\n-mlx5_nl_mac_addr_list(struct rte_eth_dev *dev, struct ether_addr (*mac)[],\n+mlx5_nl_mac_addr_list(struct rte_eth_dev *dev, struct rte_ether_addr (*mac)[],\n \t\t      int *mac_n)\n {\n \tstruct mlx5_priv *priv = dev->data->dev_private;\n@@ -424,7 +424,7 @@ mlx5_nl_mac_addr_list(struct rte_eth_dev *dev, struct ether_addr (*mac)[],\n  *   0 on success, a negative errno value otherwise and rte_errno is set.\n  */\n static int\n-mlx5_nl_mac_addr_modify(struct rte_eth_dev *dev, struct ether_addr *mac,\n+mlx5_nl_mac_addr_modify(struct rte_eth_dev *dev, struct rte_ether_addr *mac,\n \t\t\tint add)\n {\n \tstruct mlx5_priv *priv = dev->data->dev_private;\n@@ -496,7 +496,7 @@ mlx5_nl_mac_addr_modify(struct rte_eth_dev *dev, struct ether_addr *mac,\n  *   0 on success, a negative errno value otherwise and rte_errno is set.\n  */\n int\n-mlx5_nl_mac_addr_add(struct rte_eth_dev *dev, struct ether_addr *mac,\n+mlx5_nl_mac_addr_add(struct rte_eth_dev *dev, struct rte_ether_addr *mac,\n \t\t     uint32_t index)\n {\n \tstruct mlx5_priv *priv = dev->data->dev_private;\n@@ -524,7 +524,7 @@ mlx5_nl_mac_addr_add(struct rte_eth_dev *dev, struct ether_addr *mac,\n  *   0 on success, a negative errno value otherwise and rte_errno is set.\n  */\n int\n-mlx5_nl_mac_addr_remove(struct rte_eth_dev *dev, struct ether_addr *mac,\n+mlx5_nl_mac_addr_remove(struct rte_eth_dev *dev, struct rte_ether_addr *mac,\n \t\t\tuint32_t index)\n {\n \tstruct mlx5_priv *priv = dev->data->dev_private;\n@@ -542,7 +542,7 @@ mlx5_nl_mac_addr_remove(struct rte_eth_dev *dev, struct ether_addr *mac,\n void\n mlx5_nl_mac_addr_sync(struct rte_eth_dev *dev)\n {\n-\tstruct ether_addr macs[MLX5_MAX_MAC_ADDRESSES];\n+\tstruct rte_ether_addr macs[MLX5_MAX_MAC_ADDRESSES];\n \tint macs_n = 0;\n \tint i;\n \tint ret;\n@@ -583,7 +583,7 @@ mlx5_nl_mac_addr_flush(struct rte_eth_dev *dev)\n \tint i;\n \n \tfor (i = MLX5_MAX_MAC_ADDRESSES - 1; i >= 0; --i) {\n-\t\tstruct ether_addr *m = &dev->data->mac_addrs[i];\n+\t\tstruct rte_ether_addr *m = &dev->data->mac_addrs[i];\n \n \t\tif (BITFIELD_ISSET(priv->mac_own, i))\n \t\t\tmlx5_nl_mac_addr_remove(dev, m, i);\ndiff --git a/drivers/net/mlx5/mlx5_rxtx.h b/drivers/net/mlx5/mlx5_rxtx.h\nindex 4339aaf33..267663495 100644\n--- a/drivers/net/mlx5/mlx5_rxtx.h\n+++ b/drivers/net/mlx5/mlx5_rxtx.h\n@@ -784,7 +784,7 @@ txq_mbuf_to_swp(struct mlx5_txq_data *txq, struct rte_mbuf *buf,\n \t * in if any of SWP offsets is set. Therefore, all of the L3 offsets\n \t * should be set regardless of HW offload.\n \t */\n-\toff = buf->outer_l2_len + (vlan ? sizeof(struct vlan_hdr) : 0);\n+\toff = buf->outer_l2_len + (vlan ? sizeof(struct rte_vlan_hdr) : 0);\n \toffsets[1] = off >> 1; /* Outer L3 offset. */\n \toff += buf->outer_l3_len;\n \tif (tunnel == PKT_TX_TUNNEL_UDP)\ndiff --git a/drivers/net/mlx5/mlx5_trigger.c b/drivers/net/mlx5/mlx5_trigger.c\nindex b7fde3575..69681e296 100644\n--- a/drivers/net/mlx5/mlx5_trigger.c\n+++ b/drivers/net/mlx5/mlx5_trigger.c\n@@ -268,7 +268,7 @@ mlx5_traffic_enable(struct rte_eth_dev *dev)\n \t\t.dst.addr_bytes = \"\\xff\\xff\\xff\\xff\\xff\\xff\",\n \t};\n \tconst unsigned int vlan_filter_n = priv->vlan_filter_n;\n-\tconst struct ether_addr cmp = {\n+\tconst struct rte_ether_addr cmp = {\n \t\t.addr_bytes = \"\\x00\\x00\\x00\\x00\\x00\\x00\",\n \t};\n \tunsigned int i;\n@@ -331,7 +331,7 @@ mlx5_traffic_enable(struct rte_eth_dev *dev)\n \t}\n \t/* Add MAC address flows. */\n \tfor (i = 0; i != MLX5_MAX_MAC_ADDRESSES; ++i) {\n-\t\tstruct ether_addr *mac = &dev->data->mac_addrs[i];\n+\t\tstruct rte_ether_addr *mac = &dev->data->mac_addrs[i];\n \n \t\tif (!memcmp(mac, &cmp, sizeof(*mac)))\n \t\t\tcontinue;\ndiff --git a/drivers/net/mvneta/mvneta_ethdev.c b/drivers/net/mvneta/mvneta_ethdev.c\nindex 8923a1634..d539ba6a1 100644\n--- a/drivers/net/mvneta/mvneta_ethdev.c\n+++ b/drivers/net/mvneta/mvneta_ethdev.c\n@@ -617,7 +617,7 @@ mvneta_mac_addr_remove(struct rte_eth_dev *dev, uint32_t index)\n  *   0 on success, negative error value otherwise.\n  */\n static int\n-mvneta_mac_addr_add(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n+mvneta_mac_addr_add(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr,\n \t\t  uint32_t index, uint32_t vmdq __rte_unused)\n {\n \tstruct mvneta_priv *priv = dev->data->dev_private;\n@@ -650,7 +650,7 @@ mvneta_mac_addr_add(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n  *   MAC address to register.\n  */\n static int\n-mvneta_mac_addr_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr)\n+mvneta_mac_addr_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr)\n {\n \tstruct mvneta_priv *priv = dev->data->dev_private;\n \tint ret;\ndiff --git a/drivers/net/mvpp2/mrvl_ethdev.c b/drivers/net/mvpp2/mrvl_ethdev.c\nindex ce52f0901..8647c9b0d 100644\n--- a/drivers/net/mvpp2/mrvl_ethdev.c\n+++ b/drivers/net/mvpp2/mrvl_ethdev.c\n@@ -1102,7 +1102,7 @@ mrvl_mac_addr_remove(struct rte_eth_dev *dev, uint32_t index)\n  *   0 on success, negative error value otherwise.\n  */\n static int\n-mrvl_mac_addr_add(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n+mrvl_mac_addr_add(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr,\n \t\t  uint32_t index, uint32_t vmdq __rte_unused)\n {\n \tstruct mrvl_priv *priv = dev->data->dev_private;\n@@ -1154,7 +1154,7 @@ mrvl_mac_addr_add(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n  *   0 on success, negative error value otherwise.\n  */\n static int\n-mrvl_mac_addr_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr)\n+mrvl_mac_addr_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr)\n {\n \tstruct mrvl_priv *priv = dev->data->dev_private;\n \tint ret;\ndiff --git a/drivers/net/mvpp2/mrvl_flow.c b/drivers/net/mvpp2/mrvl_flow.c\nindex ffd1dab9b..738986575 100644\n--- a/drivers/net/mvpp2/mrvl_flow.c\n+++ b/drivers/net/mvpp2/mrvl_flow.c\n@@ -989,7 +989,7 @@ mrvl_parse_eth(const struct rte_flow_item *item, struct rte_flow *flow,\n \t       struct rte_flow_error *error)\n {\n \tconst struct rte_flow_item_eth *spec = NULL, *mask = NULL;\n-\tstruct ether_addr zero;\n+\tstruct rte_ether_addr zero;\n \tint ret;\n \n \tret = mrvl_parse_init(item, (const void **)&spec, (const void **)&mask,\ndiff --git a/drivers/net/netvsc/hn_ethdev.c b/drivers/net/netvsc/hn_ethdev.c\nindex 553cb06f6..35503df32 100644\n--- a/drivers/net/netvsc/hn_ethdev.c\n+++ b/drivers/net/netvsc/hn_ethdev.c\n@@ -292,7 +292,7 @@ hn_dev_allmulticast_disable(struct rte_eth_dev *dev)\n \n static int\n hn_dev_mc_addr_list(struct rte_eth_dev *dev,\n-\t\t     struct ether_addr *mc_addr_set,\n+\t\t     struct rte_ether_addr *mc_addr_set,\n \t\t     uint32_t nb_mc_addr)\n {\n \t/* No filtering on the synthetic path, but can do it on VF */\ndiff --git a/drivers/net/netvsc/hn_rxtx.c b/drivers/net/netvsc/hn_rxtx.c\nindex 7d7b55778..a5850c249 100644\n--- a/drivers/net/netvsc/hn_rxtx.c\n+++ b/drivers/net/netvsc/hn_rxtx.c\n@@ -108,7 +108,7 @@ static void\n hn_update_packet_stats(struct hn_stats *stats, const struct rte_mbuf *m)\n {\n \tuint32_t s = m->pkt_len;\n-\tconst struct ether_addr *ea;\n+\tconst struct rte_ether_addr *ea;\n \n \tif (s == 64) {\n \t\tstats->size_bins[1]++;\n@@ -127,7 +127,7 @@ hn_update_packet_stats(struct hn_stats *stats, const struct rte_mbuf *m)\n \t\t\tstats->size_bins[7]++;\n \t}\n \n-\tea = rte_pktmbuf_mtod(m, const struct ether_addr *);\n+\tea = rte_pktmbuf_mtod(m, const struct rte_ether_addr *);\n \tif (is_multicast_ether_addr(ea)) {\n \t\tif (is_broadcast_ether_addr(ea))\n \t\t\tstats->broadcast++;\ndiff --git a/drivers/net/netvsc/hn_var.h b/drivers/net/netvsc/hn_var.h\nindex de885d898..bf94d90a7 100644\n--- a/drivers/net/netvsc/hn_var.h\n+++ b/drivers/net/netvsc/hn_var.h\n@@ -131,7 +131,7 @@ struct hn_data {\n \trte_atomic32_t\trndis_req_id;\n \tuint8_t\t\trndis_resp[256];\n \n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_addr mac_addr;\n \n \tstruct rte_eth_dev_owner owner;\n \tstruct rte_intr_handle vf_intr;\n@@ -213,7 +213,7 @@ void\thn_vf_allmulticast_disable(struct rte_eth_dev *dev);\n void\thn_vf_promiscuous_enable(struct rte_eth_dev *dev);\n void\thn_vf_promiscuous_disable(struct rte_eth_dev *dev);\n int\thn_vf_mc_addr_list(struct rte_eth_dev *dev,\n-\t\t\t   struct ether_addr *mc_addr_set,\n+\t\t\t   struct rte_ether_addr *mc_addr_set,\n \t\t\t   uint32_t nb_mc_addr);\n \n int\thn_vf_link_update(struct rte_eth_dev *dev,\ndiff --git a/drivers/net/netvsc/hn_vf.c b/drivers/net/netvsc/hn_vf.c\nindex b980bb8a4..f1be7e22f 100644\n--- a/drivers/net/netvsc/hn_vf.c\n+++ b/drivers/net/netvsc/hn_vf.c\n@@ -32,12 +32,12 @@\n /* Search for VF with matching MAC address, return port id */\n static int hn_vf_match(const struct rte_eth_dev *dev)\n {\n-\tconst struct ether_addr *mac = dev->data->mac_addrs;\n+\tconst struct rte_ether_addr *mac = dev->data->mac_addrs;\n \tint i;\n \n \tRTE_ETH_FOREACH_DEV(i) {\n \t\tconst struct rte_eth_dev *vf_dev = &rte_eth_devices[i];\n-\t\tconst struct ether_addr *vf_mac = vf_dev->data->mac_addrs;\n+\t\tconst struct rte_ether_addr *vf_mac = vf_dev->data->mac_addrs;\n \n \t\tif (vf_dev == dev)\n \t\t\tcontinue;\n@@ -400,7 +400,7 @@ void hn_vf_promiscuous_disable(struct rte_eth_dev *dev)\n }\n \n int hn_vf_mc_addr_list(struct rte_eth_dev *dev,\n-\t\t\tstruct ether_addr *mc_addr_set,\n+\t\t\tstruct rte_ether_addr *mc_addr_set,\n \t\t\tuint32_t nb_mc_addr)\n {\n \tstruct hn_data *hv = dev->data->dev_private;\ndiff --git a/drivers/net/nfb/nfb_ethdev.c b/drivers/net/nfb/nfb_ethdev.c\nindex be7cced01..679af6eb2 100644\n--- a/drivers/net/nfb/nfb_ethdev.c\n+++ b/drivers/net/nfb/nfb_ethdev.c\n@@ -20,7 +20,7 @@\n /**\n  * Default MAC addr\n  */\n-static const struct ether_addr eth_addr = {\n+static const struct rte_ether_addr eth_addr = {\n \t.addr_bytes = { 0x00, 0x11, 0x17, 0x00, 0x00, 0x00 }\n };\n \n@@ -352,7 +352,7 @@ nfb_eth_dev_set_link_down(struct rte_eth_dev *dev)\n  */\n static int\n nfb_eth_mac_addr_set(struct rte_eth_dev *dev,\n-\tstruct ether_addr *mac_addr)\n+\tstruct rte_ether_addr *mac_addr)\n {\n \tunsigned int i;\n \tuint64_t mac = 0;\n@@ -418,7 +418,7 @@ nfb_eth_dev_init(struct rte_eth_dev *dev)\n \t\tdata->dev_private;\n \tstruct rte_pci_device *pci_dev = RTE_ETH_DEV_TO_PCI(dev);\n \tstruct rte_pci_addr *pci_addr = &pci_dev->addr;\n-\tstruct ether_addr eth_addr_init;\n+\tstruct rte_ether_addr eth_addr_init;\n \n \tRTE_LOG(INFO, PMD, \"Initializing NFB device (\" PCI_PRI_FMT \")\\n\",\n \t\tpci_addr->domain, pci_addr->bus, pci_addr->devid,\n@@ -464,7 +464,7 @@ nfb_eth_dev_init(struct rte_eth_dev *dev)\n \tnfb_eth_link_update(dev, 0);\n \n \t/* Allocate space for one mac address */\n-\tdata->mac_addrs = rte_zmalloc(data->name, sizeof(struct ether_addr),\n+\tdata->mac_addrs = rte_zmalloc(data->name, sizeof(struct rte_ether_addr),\n \t\tRTE_CACHE_LINE_SIZE);\n \tif (data->mac_addrs == NULL) {\n \t\tRTE_LOG(ERR, PMD, \"Could not alloc space for MAC address!\\n\");\ndiff --git a/drivers/net/nfp/nfp_net.c b/drivers/net/nfp/nfp_net.c\nindex 9394309ac..95c2be127 100644\n--- a/drivers/net/nfp/nfp_net.c\n+++ b/drivers/net/nfp/nfp_net.c\n@@ -119,7 +119,7 @@ static int nfp_net_rss_reta_write(struct rte_eth_dev *dev,\n static int nfp_net_rss_hash_write(struct rte_eth_dev *dev,\n \t\t\tstruct rte_eth_rss_conf *rss_conf);\n static int nfp_set_mac_addr(struct rte_eth_dev *dev,\n-\t\t\t     struct ether_addr *mac_addr);\n+\t\t\t     struct rte_ether_addr *mac_addr);\n \n /* The offset of the queue controller queues in the PCIe Target */\n #define NFP_PCIE_QUEUE(_q) (0x80000 + (NFP_QCP_QUEUE_ADDR_SZ * ((_q) & 0xff)))\n@@ -553,7 +553,7 @@ nfp_net_write_mac(struct nfp_net_hw *hw, uint8_t *mac)\n }\n \n int\n-nfp_set_mac_addr(struct rte_eth_dev *dev, struct ether_addr *mac_addr)\n+nfp_set_mac_addr(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr)\n {\n \tstruct nfp_net_hw *hw;\n \tuint32_t update, ctrl;\n@@ -2962,7 +2962,8 @@ nfp_net_init(struct rte_eth_dev *eth_dev)\n \t\tnfp_net_vf_read_mac(hw);\n \t}\n \n-\tif (!is_valid_assigned_ether_addr((struct ether_addr *)&hw->mac_addr)) {\n+\tif (!is_valid_assigned_ether_addr(\n+\t\t    (struct rte_ether_addr *)&hw->mac_addr)) {\n \t\tPMD_INIT_LOG(INFO, \"Using random mac address for port %d\",\n \t\t\t\t   port);\n \t\t/* Using random mac addresses for VFs */\n@@ -2971,7 +2972,7 @@ nfp_net_init(struct rte_eth_dev *eth_dev)\n \t}\n \n \t/* Copying mac address to DPDK eth_dev struct */\n-\tether_addr_copy((struct ether_addr *)hw->mac_addr,\n+\tether_addr_copy((struct rte_ether_addr *)hw->mac_addr,\n \t\t\t&eth_dev->data->mac_addrs[0]);\n \n \tif (!(hw->cap & NFP_NET_CFG_CTRL_LIVE_ADDR))\ndiff --git a/drivers/net/null/rte_eth_null.c b/drivers/net/null/rte_eth_null.c\nindex 159c1c1fd..7683511cf 100644\n--- a/drivers/net/null/rte_eth_null.c\n+++ b/drivers/net/null/rte_eth_null.c\n@@ -73,7 +73,7 @@ struct pmd_internals {\n \tstruct null_queue rx_null_queues[RTE_MAX_QUEUES_PER_PORT];\n \tstruct null_queue tx_null_queues[RTE_MAX_QUEUES_PER_PORT];\n \n-\tstruct ether_addr eth_addr;\n+\tstruct rte_ether_addr eth_addr;\n \t/** Bit mask of RSS offloads, the bit offset also means flow type */\n \tuint64_t flow_type_rss_offloads;\n \n@@ -467,7 +467,7 @@ eth_rss_hash_conf_get(struct rte_eth_dev *dev,\n \n static int\n eth_mac_address_set(__rte_unused struct rte_eth_dev *dev,\n-\t\t    __rte_unused struct ether_addr *addr)\n+\t\t    __rte_unused struct rte_ether_addr *addr)\n {\n \treturn 0;\n }\ndiff --git a/drivers/net/octeontx/octeontx_ethdev.c b/drivers/net/octeontx/octeontx_ethdev.c\nindex 046e12986..643479254 100644\n--- a/drivers/net/octeontx/octeontx_ethdev.c\n+++ b/drivers/net/octeontx/octeontx_ethdev.c\n@@ -555,7 +555,7 @@ octeontx_dev_stats_reset(struct rte_eth_dev *dev)\n \n static int\n octeontx_dev_default_mac_addr_set(struct rte_eth_dev *dev,\n-\t\t\t\t\tstruct ether_addr *addr)\n+\t\t\t\t\tstruct rte_ether_addr *addr)\n {\n \tstruct octeontx_nic *nic = octeontx_pmd_priv(dev);\n \tint ret;\ndiff --git a/drivers/net/pcap/rte_eth_pcap.c b/drivers/net/pcap/rte_eth_pcap.c\nindex 353538f16..7655b3a7a 100644\n--- a/drivers/net/pcap/rte_eth_pcap.c\n+++ b/drivers/net/pcap/rte_eth_pcap.c\n@@ -78,7 +78,7 @@ struct pmd_internals {\n \tstruct pcap_rx_queue rx_queue[RTE_PMD_PCAP_MAX_QUEUES];\n \tstruct pcap_tx_queue tx_queue[RTE_PMD_PCAP_MAX_QUEUES];\n \tchar devargs[ETH_PCAP_ARG_MAXLEN];\n-\tstruct ether_addr eth_addr;\n+\tstruct rte_ether_addr eth_addr;\n \tint if_index;\n \tint single_iface;\n \tint phy_mac;\n@@ -953,7 +953,7 @@ pmd_init_internals(struct rte_vdev_device *vdev,\n \t * derived from: 'locally administered':'p':'c':'a':'p':'iface_idx'\n \t * where the middle 4 characters are converted to hex.\n \t */\n-\t(*internals)->eth_addr = (struct ether_addr) {\n+\t(*internals)->eth_addr = (struct rte_ether_addr) {\n \t\t.addr_bytes = { 0x02, 0x70, 0x63, 0x61, 0x70, iface_idx++ }\n \t};\n \t(*internals)->phy_mac = 0;\ndiff --git a/drivers/net/qede/qede_ethdev.c b/drivers/net/qede/qede_ethdev.c\nindex b2fd2fd90..a72d46657 100644\n--- a/drivers/net/qede/qede_ethdev.c\n+++ b/drivers/net/qede/qede_ethdev.c\n@@ -559,9 +559,9 @@ qede_ucast_filter(struct rte_eth_dev *eth_dev, struct ecore_filter_ucast *ucast,\n \tstruct ecore_dev *edev = QEDE_INIT_EDEV(qdev);\n \tstruct qede_ucast_entry *tmp = NULL;\n \tstruct qede_ucast_entry *u;\n-\tstruct ether_addr *mac_addr;\n+\tstruct rte_ether_addr *mac_addr;\n \n-\tmac_addr  = (struct ether_addr *)ucast->mac;\n+\tmac_addr  = (struct rte_ether_addr *)ucast->mac;\n \tif (add) {\n \t\tSLIST_FOREACH(tmp, &qdev->uc_list_head, list) {\n \t\t\tif ((memcmp(mac_addr, &tmp->mac,\n@@ -605,8 +605,9 @@ qede_ucast_filter(struct rte_eth_dev *eth_dev, struct ecore_filter_ucast *ucast,\n }\n \n static int\n-qede_add_mcast_filters(struct rte_eth_dev *eth_dev, struct ether_addr *mc_addrs,\n-\t\t       uint32_t mc_addrs_num)\n+qede_add_mcast_filters(struct rte_eth_dev *eth_dev,\n+\t\tstruct rte_ether_addr *mc_addrs,\n+\t\tuint32_t mc_addrs_num)\n {\n \tstruct qede_dev *qdev = QEDE_INIT_QDEV(eth_dev);\n \tstruct ecore_dev *edev = QEDE_INIT_EDEV(qdev);\n@@ -629,7 +630,7 @@ qede_add_mcast_filters(struct rte_eth_dev *eth_dev, struct ether_addr *mc_addrs,\n \tmcast.num_mc_addrs = mc_addrs_num;\n \tmcast.opcode = ECORE_FILTER_ADD;\n \tfor (i = 0; i < mc_addrs_num; i++)\n-\t\tether_addr_copy(&mc_addrs[i], (struct ether_addr *)\n+\t\tether_addr_copy(&mc_addrs[i], (struct rte_ether_addr *)\n \t\t\t\t\t\t\t&mcast.mac[i]);\n \trc = ecore_filter_mcast_cmd(edev, &mcast, ECORE_SPQ_MODE_CB, NULL);\n \tif (rc != ECORE_SUCCESS) {\n@@ -654,7 +655,8 @@ static int qede_del_mcast_filters(struct rte_eth_dev *eth_dev)\n \tmcast.opcode = ECORE_FILTER_REMOVE;\n \tj = 0;\n \tSLIST_FOREACH(tmp, &qdev->mc_list_head, list) {\n-\t\tether_addr_copy(&tmp->mac, (struct ether_addr *)&mcast.mac[j]);\n+\t\tether_addr_copy(&tmp->mac,\n+\t\t\t\t(struct rte_ether_addr *)&mcast.mac[j]);\n \t\tj++;\n \t}\n \trc = ecore_filter_mcast_cmd(edev, &mcast, ECORE_SPQ_MODE_CB, NULL);\n@@ -701,7 +703,7 @@ qede_mac_int_ops(struct rte_eth_dev *eth_dev, struct ecore_filter_ucast *ucast,\n }\n \n static int\n-qede_mac_addr_add(struct rte_eth_dev *eth_dev, struct ether_addr *mac_addr,\n+qede_mac_addr_add(struct rte_eth_dev *eth_dev, struct rte_ether_addr *mac_addr,\n \t\t  __rte_unused uint32_t index, __rte_unused uint32_t pool)\n {\n \tstruct ecore_filter_ucast ucast;\n@@ -713,7 +715,7 @@ qede_mac_addr_add(struct rte_eth_dev *eth_dev, struct ether_addr *mac_addr,\n \tqede_set_ucast_cmn_params(&ucast);\n \tucast.opcode = ECORE_FILTER_ADD;\n \tucast.type = ECORE_FILTER_MAC;\n-\tether_addr_copy(mac_addr, (struct ether_addr *)&ucast.mac);\n+\tether_addr_copy(mac_addr, (struct rte_ether_addr *)&ucast.mac);\n \tre = (int)qede_mac_int_ops(eth_dev, &ucast, 1);\n \treturn re;\n }\n@@ -742,13 +744,13 @@ qede_mac_addr_remove(struct rte_eth_dev *eth_dev, uint32_t index)\n \n \t/* Use the index maintained by rte */\n \tether_addr_copy(&eth_dev->data->mac_addrs[index],\n-\t\t\t(struct ether_addr *)&ucast.mac);\n+\t\t\t(struct rte_ether_addr *)&ucast.mac);\n \n \tqede_mac_int_ops(eth_dev, &ucast, false);\n }\n \n static int\n-qede_mac_addr_set(struct rte_eth_dev *eth_dev, struct ether_addr *mac_addr)\n+qede_mac_addr_set(struct rte_eth_dev *eth_dev, struct rte_ether_addr *mac_addr)\n {\n \tstruct qede_dev *qdev = QEDE_INIT_QDEV(eth_dev);\n \tstruct ecore_dev *edev = QEDE_INIT_EDEV(qdev);\n@@ -1757,8 +1759,9 @@ static void qede_allmulticast_disable(struct rte_eth_dev *eth_dev)\n }\n \n static int\n-qede_set_mc_addr_list(struct rte_eth_dev *eth_dev, struct ether_addr *mc_addrs,\n-\t\t      uint32_t mc_addrs_num)\n+qede_set_mc_addr_list(struct rte_eth_dev *eth_dev,\n+\t\tstruct rte_ether_addr *mc_addrs,\n+\t\tuint32_t mc_addrs_num)\n {\n \tstruct qede_dev *qdev = QEDE_INIT_QDEV(eth_dev);\n \tstruct ecore_dev *edev = QEDE_INIT_EDEV(qdev);\n@@ -2549,7 +2552,7 @@ static int qede_common_dev_init(struct rte_eth_dev *eth_dev, bool is_vf)\n \t}\n \n \tif (!is_vf) {\n-\t\tether_addr_copy((struct ether_addr *)edev->hwfns[0].\n+\t\tether_addr_copy((struct rte_ether_addr *)edev->hwfns[0].\n \t\t\t\thw_info.hw_mac_addr,\n \t\t\t\t&eth_dev->data->mac_addrs[0]);\n \t\tether_addr_copy(&eth_dev->data->mac_addrs[0],\n@@ -2565,8 +2568,9 @@ static int qede_common_dev_init(struct rte_eth_dev *eth_dev, bool is_vf)\n \t\t\t\t\t\t&is_mac_forced);\n \t\t\tif (is_mac_exist) {\n \t\t\t\tDP_INFO(edev, \"VF macaddr received from PF\\n\");\n-\t\t\t\tether_addr_copy((struct ether_addr *)&vf_mac,\n-\t\t\t\t\t\t&eth_dev->data->mac_addrs[0]);\n+\t\t\t\tether_addr_copy(\n+\t\t\t\t\t(struct rte_ether_addr *)&vf_mac,\n+\t\t\t\t\t&eth_dev->data->mac_addrs[0]);\n \t\t\t\tether_addr_copy(&eth_dev->data->mac_addrs[0],\n \t\t\t\t\t\t&adapter->primary_mac);\n \t\t\t} else {\ndiff --git a/drivers/net/qede/qede_ethdev.h b/drivers/net/qede/qede_ethdev.h\nindex c06274d94..d0e7c70be 100644\n--- a/drivers/net/qede/qede_ethdev.h\n+++ b/drivers/net/qede/qede_ethdev.h\n@@ -140,12 +140,12 @@ struct qede_vlan_entry {\n };\n \n struct qede_mcast_entry {\n-\tstruct ether_addr mac;\n+\tstruct rte_ether_addr mac;\n \tSLIST_ENTRY(qede_mcast_entry) list;\n };\n \n struct qede_ucast_entry {\n-\tstruct ether_addr mac;\n+\tstruct rte_ether_addr mac;\n \tuint16_t vlan;\n \tuint16_t vni;\n \tSLIST_ENTRY(qede_ucast_entry) list;\n@@ -228,7 +228,7 @@ struct qede_dev {\n \tSLIST_HEAD(vlan_list_head, qede_vlan_entry)vlan_list_head;\n \tuint16_t configured_vlans;\n \tbool accept_any_vlan;\n-\tstruct ether_addr primary_mac;\n+\tstruct rte_ether_addr primary_mac;\n \tSLIST_HEAD(mc_list_head, qede_mcast_entry) mc_list_head;\n \tuint16_t num_mc_addr;\n \tSLIST_HEAD(uc_list_head, qede_ucast_entry) uc_list_head;\ndiff --git a/drivers/net/qede/qede_filter.c b/drivers/net/qede/qede_filter.c\nindex 7aeef700d..792b9efb4 100644\n--- a/drivers/net/qede/qede_filter.c\n+++ b/drivers/net/qede/qede_filter.c\n@@ -465,8 +465,8 @@ qede_arfs_construct_pkt(struct rte_eth_dev *eth_dev,\n \n \traw_pkt = (uint8_t *)buff;\n \n-\tlen =  2 * sizeof(struct ether_addr);\n-\traw_pkt += 2 * sizeof(struct ether_addr);\n+\tlen =  2 * sizeof(struct rte_ether_addr);\n+\traw_pkt += 2 * sizeof(struct rte_ether_addr);\n \tether_type = (uint16_t *)raw_pkt;\n \traw_pkt += sizeof(uint16_t);\n \tlen += sizeof(uint16_t);\ndiff --git a/drivers/net/qede/qede_if.h b/drivers/net/qede/qede_if.h\nindex ee5e54c19..b840c743c 100644\n--- a/drivers/net/qede/qede_if.h\n+++ b/drivers/net/qede/qede_if.h\n@@ -59,7 +59,7 @@ struct qed_dev_eth_info {\n \tuint8_t num_queues;\n \tuint8_t num_tc;\n \n-\tstruct ether_addr port_mac;\n+\tstruct rte_ether_addr port_mac;\n \tuint16_t num_vlan_filters;\n \tuint32_t num_mac_filters;\n \ndiff --git a/drivers/net/qede/qede_rxtx.c b/drivers/net/qede/qede_rxtx.c\nindex 27bac0995..0e8a3675b 100644\n--- a/drivers/net/qede/qede_rxtx.c\n+++ b/drivers/net/qede/qede_rxtx.c\n@@ -950,23 +950,23 @@ static inline uint8_t qede_check_notunn_csum_l4(uint16_t flag)\n static inline uint32_t qede_rx_cqe_to_pkt_type_outer(struct rte_mbuf *m)\n {\n \tuint32_t packet_type = RTE_PTYPE_UNKNOWN;\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct ipv4_hdr *ipv4_hdr;\n \tstruct ipv6_hdr *ipv6_hdr;\n-\tstruct vlan_hdr *vlan_hdr;\n+\tstruct rte_vlan_hdr *vlan_hdr;\n \tuint16_t ethertype;\n \tbool vlan_tagged = 0;\n \tuint16_t len;\n \n-\teth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n-\tlen = sizeof(struct ether_hdr);\n+\teth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n+\tlen = sizeof(struct rte_ether_hdr);\n \tethertype = rte_cpu_to_be_16(eth_hdr->ether_type);\n \n \t /* Note: Valid only if VLAN stripping is disabled */\n \tif (ethertype == ETHER_TYPE_VLAN) {\n \t\tvlan_tagged = 1;\n-\t\tvlan_hdr = (struct vlan_hdr *)(eth_hdr + 1);\n-\t\tlen += sizeof(struct vlan_hdr);\n+\t\tvlan_hdr = (struct rte_vlan_hdr *)(eth_hdr + 1);\n+\t\tlen += sizeof(struct rte_vlan_hdr);\n \t\tethertype = rte_cpu_to_be_16(vlan_hdr->eth_proto);\n \t}\n \n@@ -1153,7 +1153,7 @@ qede_check_notunn_csum_l3(struct rte_mbuf *m, uint16_t flag)\n \t\tm->packet_type = qede_rx_cqe_to_pkt_type(flag);\n \t\tif (RTE_ETH_IS_IPV4_HDR(m->packet_type)) {\n \t\t\tip = rte_pktmbuf_mtod_offset(m, struct ipv4_hdr *,\n-\t\t\t\t\t   sizeof(struct ether_hdr));\n+\t\t\t\t\t   sizeof(struct rte_ether_hdr));\n \t\t\tpkt_csum = ip->hdr_checksum;\n \t\t\tip->hdr_checksum = 0;\n \t\t\tcalc_csum = rte_ipv4_cksum(ip);\ndiff --git a/drivers/net/ring/rte_eth_ring.c b/drivers/net/ring/rte_eth_ring.c\nindex ab963a03c..cae63a7f1 100644\n--- a/drivers/net/ring/rte_eth_ring.c\n+++ b/drivers/net/ring/rte_eth_ring.c\n@@ -51,7 +51,7 @@ struct pmd_internals {\n \tstruct ring_queue rx_ring_queues[RTE_PMD_RING_MAX_RX_RINGS];\n \tstruct ring_queue tx_ring_queues[RTE_PMD_RING_MAX_TX_RINGS];\n \n-\tstruct ether_addr address;\n+\tstruct rte_ether_addr address;\n \tenum dev_action action;\n };\n \n@@ -218,7 +218,7 @@ eth_mac_addr_remove(struct rte_eth_dev *dev __rte_unused,\n \n static int\n eth_mac_addr_add(struct rte_eth_dev *dev __rte_unused,\n-\tstruct ether_addr *mac_addr __rte_unused,\n+\tstruct rte_ether_addr *mac_addr __rte_unused,\n \tuint32_t index __rte_unused,\n \tuint32_t vmdq __rte_unused)\n {\ndiff --git a/drivers/net/sfc/sfc.h b/drivers/net/sfc/sfc.h\nindex ecd20e546..dde25c553 100644\n--- a/drivers/net/sfc/sfc.h\n+++ b/drivers/net/sfc/sfc.h\n@@ -134,7 +134,7 @@ struct sfc_port {\n \tboolean_t\t\t\tpromisc;\n \tboolean_t\t\t\tallmulti;\n \n-\tstruct ether_addr\t\tdefault_mac_addr;\n+\tstruct rte_ether_addr\t\tdefault_mac_addr;\n \n \tunsigned int\t\t\tmax_mcast_addrs;\n \tunsigned int\t\t\tnb_mcast_addrs;\ndiff --git a/drivers/net/sfc/sfc_ethdev.c b/drivers/net/sfc/sfc_ethdev.c\nindex a007d4564..fadcdb56a 100644\n--- a/drivers/net/sfc/sfc_ethdev.c\n+++ b/drivers/net/sfc/sfc_ethdev.c\n@@ -969,12 +969,12 @@ sfc_dev_set_mtu(struct rte_eth_dev *dev, uint16_t mtu)\n \treturn -rc;\n }\n static int\n-sfc_mac_addr_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr)\n+sfc_mac_addr_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr)\n {\n \tstruct sfc_adapter *sa = sfc_adapter_by_eth_dev(dev);\n \tconst efx_nic_cfg_t *encp = efx_nic_cfg_get(sa->nic);\n \tstruct sfc_port *port = &sa->port;\n-\tstruct ether_addr *old_addr = &dev->data->mac_addrs[0];\n+\tstruct rte_ether_addr *old_addr = &dev->data->mac_addrs[0];\n \tint rc = 0;\n \n \tsfc_adapter_lock(sa);\n@@ -1053,8 +1053,8 @@ sfc_mac_addr_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr)\n \n \n static int\n-sfc_set_mc_addr_list(struct rte_eth_dev *dev, struct ether_addr *mc_addr_set,\n-\t\t     uint32_t nb_mc_addr)\n+sfc_set_mc_addr_list(struct rte_eth_dev *dev,\n+\t\tstruct rte_ether_addr *mc_addr_set, uint32_t nb_mc_addr)\n {\n \tstruct sfc_adapter *sa = sfc_adapter_by_eth_dev(dev);\n \tstruct sfc_port *port = &sa->port;\n@@ -2053,7 +2053,7 @@ sfc_eth_dev_init(struct rte_eth_dev *dev)\n \tstruct sfc_adapter *sa;\n \tint rc;\n \tconst efx_nic_cfg_t *encp;\n-\tconst struct ether_addr *from;\n+\tconst struct rte_ether_addr *from;\n \n \tsfc_register_dp();\n \n@@ -2125,7 +2125,7 @@ sfc_eth_dev_init(struct rte_eth_dev *dev)\n \t * The arguments are really reverse order in comparison to\n \t * Linux kernel. Copy from NIC config to Ethernet device data.\n \t */\n-\tfrom = (const struct ether_addr *)(encp->enc_mac_addr);\n+\tfrom = (const struct rte_ether_addr *)(encp->enc_mac_addr);\n \tether_addr_copy(from, &dev->data->mac_addrs[0]);\n \n \tsfc_adapter_unlock(sa);\ndiff --git a/drivers/net/sfc/sfc_port.c b/drivers/net/sfc/sfc_port.c\nindex 1709dba4e..8de5f0c60 100644\n--- a/drivers/net/sfc/sfc_port.c\n+++ b/drivers/net/sfc/sfc_port.c\n@@ -227,7 +227,7 @@ sfc_port_start(struct sfc_adapter *sa)\n \t\tgoto fail_mac_pdu_set;\n \n \tif (!sfc_sa2shared(sa)->isolated) {\n-\t\tstruct ether_addr *addr = &port->default_mac_addr;\n+\t\tstruct rte_ether_addr *addr = &port->default_mac_addr;\n \n \t\tsfc_log_init(sa, \"set MAC address\");\n \t\trc = efx_mac_addr_set(sa->nic, addr->addr_bytes);\n@@ -386,7 +386,7 @@ sfc_port_attach(struct sfc_adapter *sa)\n {\n \tstruct sfc_port *port = &sa->port;\n \tconst efx_nic_cfg_t *encp = efx_nic_cfg_get(sa->nic);\n-\tconst struct ether_addr *from;\n+\tconst struct rte_ether_addr *from;\n \tuint32_t mac_nstats;\n \tsize_t mac_stats_size;\n \tlong kvarg_stats_update_period_ms;\n@@ -401,7 +401,7 @@ sfc_port_attach(struct sfc_adapter *sa)\n \tport->flow_ctrl_autoneg = B_TRUE;\n \n \tRTE_BUILD_BUG_ON(sizeof(encp->enc_mac_addr) != sizeof(*from));\n-\tfrom = (const struct ether_addr *)(encp->enc_mac_addr);\n+\tfrom = (const struct rte_ether_addr *)(encp->enc_mac_addr);\n \tether_addr_copy(from, &port->default_mac_addr);\n \n \tport->max_mcast_addrs = EFX_MAC_MULTICAST_LIST_MAX;\ndiff --git a/drivers/net/softnic/parser.c b/drivers/net/softnic/parser.c\nindex a8688a21e..7ea6eb4fa 100644\n--- a/drivers/net/softnic/parser.c\n+++ b/drivers/net/softnic/parser.c\n@@ -528,13 +528,13 @@ inet_pton6(const char *src, unsigned char *dst)\n \treturn 1;\n }\n \n-static struct ether_addr *\n+static struct rte_ether_addr *\n my_ether_aton(const char *a)\n {\n \tint i;\n \tchar *end;\n \tunsigned long o[ETHER_ADDR_LEN];\n-\tstatic struct ether_addr ether_addr;\n+\tstatic struct rte_ether_addr ether_addr;\n \n \ti = 0;\n \tdo {\n@@ -568,7 +568,7 @@ my_ether_aton(const char *a)\n \t} else\n \t\treturn NULL;\n \n-\treturn (struct ether_addr *)&ether_addr;\n+\treturn (struct rte_ether_addr *)&ether_addr;\n }\n \n int\n@@ -596,15 +596,15 @@ softnic_parse_ipv6_addr(const char *token, struct in6_addr *ipv6)\n }\n \n int\n-softnic_parse_mac_addr(const char *token, struct ether_addr *addr)\n+softnic_parse_mac_addr(const char *token, struct rte_ether_addr *addr)\n {\n-\tstruct ether_addr *tmp;\n+\tstruct rte_ether_addr *tmp;\n \n \ttmp = my_ether_aton(token);\n \tif (tmp == NULL)\n \t\treturn -1;\n \n-\tmemcpy(addr, tmp, sizeof(struct ether_addr));\n+\tmemcpy(addr, tmp, sizeof(struct rte_ether_addr));\n \treturn 0;\n }\n \ndiff --git a/drivers/net/softnic/parser.h b/drivers/net/softnic/parser.h\nindex 1ee3f82a7..6f408b248 100644\n--- a/drivers/net/softnic/parser.h\n+++ b/drivers/net/softnic/parser.h\n@@ -49,7 +49,7 @@ int softnic_parse_hex_string(char *src, uint8_t *dst, uint32_t *size);\n \n int softnic_parse_ipv4_addr(const char *token, struct in_addr *ipv4);\n int softnic_parse_ipv6_addr(const char *token, struct in6_addr *ipv6);\n-int softnic_parse_mac_addr(const char *token, struct ether_addr *addr);\n+int softnic_parse_mac_addr(const char *token, struct rte_ether_addr *addr);\n int softnic_parse_mpls_labels(char *string,\n \t\tuint32_t *labels, uint32_t *n_labels);\n \ndiff --git a/drivers/net/softnic/rte_eth_softnic.c b/drivers/net/softnic/rte_eth_softnic.c\nindex 32b001fd3..4bda2f2b0 100644\n--- a/drivers/net/softnic/rte_eth_softnic.c\n+++ b/drivers/net/softnic/rte_eth_softnic.c\n@@ -339,7 +339,7 @@ pmd_free(struct pmd_internals *p)\n \trte_free(p);\n }\n \n-static struct ether_addr eth_addr = {\n+static struct rte_ether_addr eth_addr = {\n \t.addr_bytes = {0},\n };\n \ndiff --git a/drivers/net/szedata2/rte_eth_szedata2.c b/drivers/net/szedata2/rte_eth_szedata2.c\nindex 88448eff6..43a66432a 100644\n--- a/drivers/net/szedata2/rte_eth_szedata2.c\n+++ b/drivers/net/szedata2/rte_eth_szedata2.c\n@@ -105,7 +105,7 @@ struct szedata2_tx_queue {\n int szedata2_logtype_init;\n int szedata2_logtype_driver;\n \n-static struct ether_addr eth_addr = {\n+static struct rte_ether_addr eth_addr = {\n \t.addr_bytes = { 0x00, 0x11, 0x17, 0x00, 0x00, 0x00 }\n };\n \n@@ -1332,7 +1332,7 @@ eth_tx_queue_setup(struct rte_eth_dev *dev,\n \n static int\n eth_mac_addr_set(struct rte_eth_dev *dev __rte_unused,\n-\t\tstruct ether_addr *mac_addr __rte_unused)\n+\t\tstruct rte_ether_addr *mac_addr __rte_unused)\n {\n \treturn 0;\n }\n@@ -1514,7 +1514,7 @@ rte_szedata2_eth_dev_init(struct rte_eth_dev *dev, struct port_info *pi)\n \teth_link_update(dev, 0);\n \n \t/* Allocate space for one mac address */\n-\tdata->mac_addrs = rte_zmalloc(data->name, sizeof(struct ether_addr),\n+\tdata->mac_addrs = rte_zmalloc(data->name, sizeof(struct rte_ether_addr),\n \t\t\tRTE_CACHE_LINE_SIZE);\n \tif (data->mac_addrs == NULL) {\n \t\tPMD_INIT_LOG(ERR, \"Could not alloc space for MAC address!\");\ndiff --git a/drivers/net/tap/rte_eth_tap.c b/drivers/net/tap/rte_eth_tap.c\nindex 47a2b68f1..71be763d6 100644\n--- a/drivers/net/tap/rte_eth_tap.c\n+++ b/drivers/net/tap/rte_eth_tap.c\n@@ -261,7 +261,7 @@ tap_verify_csum(struct rte_mbuf *mbuf)\n \tuint32_t l2 = mbuf->packet_type & RTE_PTYPE_L2_MASK;\n \tuint32_t l3 = mbuf->packet_type & RTE_PTYPE_L3_MASK;\n \tuint32_t l4 = mbuf->packet_type & RTE_PTYPE_L4_MASK;\n-\tunsigned int l2_len = sizeof(struct ether_hdr);\n+\tunsigned int l2_len = sizeof(struct rte_ether_hdr);\n \tunsigned int l3_len;\n \tuint16_t cksum = 0;\n \tvoid *l3_hdr;\n@@ -1150,7 +1150,7 @@ tap_allmulti_disable(struct rte_eth_dev *dev)\n }\n \n static int\n-tap_mac_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr)\n+tap_mac_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr)\n {\n \tstruct pmd_internals *pmd = dev->data->dev_private;\n \tenum ioctl_mode mode = LOCAL_ONLY;\n@@ -1172,15 +1172,16 @@ tap_mac_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr)\n \tret = tap_ioctl(pmd, SIOCGIFHWADDR, &ifr, 0, LOCAL_ONLY);\n \tif (ret < 0)\n \t\treturn ret;\n-\tif (is_same_ether_addr((struct ether_addr *)&ifr.ifr_hwaddr.sa_data,\n+\tif (is_same_ether_addr((struct rte_ether_addr *)&ifr.ifr_hwaddr.sa_data,\n \t\t\t       mac_addr))\n \t\treturn 0;\n \t/* Check the current MAC address on the remote */\n \tret = tap_ioctl(pmd, SIOCGIFHWADDR, &ifr, 0, REMOTE_ONLY);\n \tif (ret < 0)\n \t\treturn ret;\n-\tif (!is_same_ether_addr((struct ether_addr *)&ifr.ifr_hwaddr.sa_data,\n-\t\t\t       mac_addr))\n+\tif (!is_same_ether_addr(\n+\t\t\t(struct rte_ether_addr *)&ifr.ifr_hwaddr.sa_data,\n+\t\t\tmac_addr))\n \t\tmode = LOCAL_AND_REMOTE;\n \tifr.ifr_hwaddr.sa_family = AF_LOCAL;\n \trte_memcpy(ifr.ifr_hwaddr.sa_data, mac_addr, ETHER_ADDR_LEN);\n@@ -1458,7 +1459,7 @@ tap_mtu_set(struct rte_eth_dev *dev, uint16_t mtu)\n \n static int\n tap_set_mc_addr_list(struct rte_eth_dev *dev __rte_unused,\n-\t\t     struct ether_addr *mc_addr_set __rte_unused,\n+\t\t     struct rte_ether_addr *mc_addr_set __rte_unused,\n \t\t     uint32_t nb_mc_addr __rte_unused)\n {\n \t/*\n@@ -1682,7 +1683,7 @@ static const char *tuntap_types[ETH_TUNTAP_TYPE_MAX] = {\n \n static int\n eth_dev_tap_create(struct rte_vdev_device *vdev, const char *tap_name,\n-\t\t   char *remote_iface, struct ether_addr *mac_addr,\n+\t\t   char *remote_iface, struct rte_ether_addr *mac_addr,\n \t\t   enum rte_tuntap_type type)\n {\n \tint numa_node = rte_socket_id();\n@@ -1957,7 +1958,7 @@ set_remote_iface(const char *key __rte_unused,\n \treturn 0;\n }\n \n-static int parse_user_mac(struct ether_addr *user_mac,\n+static int parse_user_mac(struct rte_ether_addr *user_mac,\n \t\tconst char *value)\n {\n \tunsigned int index = 0;\n@@ -1985,7 +1986,7 @@ set_mac_type(const char *key __rte_unused,\n \t     const char *value,\n \t     void *extra_args)\n {\n-\tstruct ether_addr *user_mac = extra_args;\n+\tstruct rte_ether_addr *user_mac = extra_args;\n \n \tif (!value)\n \t\treturn 0;\n@@ -2198,7 +2199,7 @@ rte_pmd_tap_probe(struct rte_vdev_device *dev)\n \tint speed;\n \tchar tap_name[RTE_ETH_NAME_MAX_LEN];\n \tchar remote_iface[RTE_ETH_NAME_MAX_LEN];\n-\tstruct ether_addr user_mac = { .addr_bytes = {0} };\n+\tstruct rte_ether_addr user_mac = { .addr_bytes = {0} };\n \tstruct rte_eth_dev *eth_dev;\n \tint tap_devices_count_increased = 0;\n \ndiff --git a/drivers/net/tap/rte_eth_tap.h b/drivers/net/tap/rte_eth_tap.h\nindex dc3579ac0..8d6d53dc0 100644\n--- a/drivers/net/tap/rte_eth_tap.h\n+++ b/drivers/net/tap/rte_eth_tap.h\n@@ -70,8 +70,8 @@ struct pmd_internals {\n \tchar remote_iface[RTE_ETH_NAME_MAX_LEN]; /* Remote netdevice name */\n \tchar name[RTE_ETH_NAME_MAX_LEN];  /* Internal Tap device name */\n \tint type;                         /* Type field - TUN|TAP */\n-\tstruct ether_addr eth_addr;       /* Mac address of the device port */\n-\tstruct ifreq remote_initial_flags;   /* Remote netdevice flags on init */\n+\tstruct rte_ether_addr eth_addr;   /* Mac address of the device port */\n+\tstruct ifreq remote_initial_flags;/* Remote netdevice flags on init */\n \tint remote_if_index;              /* remote netdevice IF_INDEX */\n \tint if_index;                     /* IF_INDEX for the port */\n \tint ioctl_sock;                   /* socket for ioctl calls */\ndiff --git a/drivers/net/tap/tap_bpf_program.c b/drivers/net/tap/tap_bpf_program.c\nindex 532e8838f..2b1dba15b 100644\n--- a/drivers/net/tap/tap_bpf_program.c\n+++ b/drivers/net/tap/tap_bpf_program.c\n@@ -37,7 +37,7 @@\n #define KEY_IDX\t\t\t0\n #define BPF_MAP_ID_KEY\t1\n \n-struct vlan_hdr {\n+struct rte_vlan_hdr {\n \t__be16 proto;\n \t__be16 tci;\n };\n@@ -141,12 +141,12 @@ rss_l3_l4(struct __sk_buff *skb)\n \n \t/* Get correct proto for 802.1ad */\n \tif (skb->vlan_present && skb->vlan_proto == htons(ETH_P_8021AD)) {\n-\t\tif (data + ETH_ALEN * 2 + sizeof(struct vlan_hdr) +\n+\t\tif (data + ETH_ALEN * 2 + sizeof(struct rte_vlan_hdr) +\n \t\t    sizeof(proto) > data_end)\n \t\t\treturn TC_ACT_OK;\n \t\tproto = *(__u16 *)(data + ETH_ALEN * 2 +\n-\t\t\t\t   sizeof(struct vlan_hdr));\n-\t\toff += sizeof(struct vlan_hdr);\n+\t\t\t\t   sizeof(struct rte_vlan_hdr));\n+\t\toff += sizeof(struct rte_vlan_hdr);\n \t}\n \n \tif (proto == htons(ETH_P_IP)) {\ndiff --git a/drivers/net/thunderx/base/nicvf_plat.h b/drivers/net/thunderx/base/nicvf_plat.h\nindex 6de07c708..3f0519593 100644\n--- a/drivers/net/thunderx/base/nicvf_plat.h\n+++ b/drivers/net/thunderx/base/nicvf_plat.h\n@@ -46,6 +46,9 @@\n #include <rte_ether.h>\n #define NICVF_MAC_ADDR_SIZE ETHER_ADDR_LEN\n \n+/* Ethernet */\n+#define ether_addr_copy(x, y) memcpy(y, x, ETHER_ADDR_LEN)\n+\n #include <rte_io.h>\n #define nicvf_addr_write(addr, val) rte_write64_relaxed((val), (void *)(addr))\n #define nicvf_addr_read(addr) rte_read64_relaxed((void *)(addr))\ndiff --git a/drivers/net/thunderx/nicvf_ethdev.c b/drivers/net/thunderx/nicvf_ethdev.c\nindex 879d88998..ec2087924 100644\n--- a/drivers/net/thunderx/nicvf_ethdev.c\n+++ b/drivers/net/thunderx/nicvf_ethdev.c\n@@ -2179,10 +2179,10 @@ nicvf_eth_dev_init(struct rte_eth_dev *eth_dev)\n \t\tret = -ENOMEM;\n \t\tgoto alarm_fail;\n \t}\n-\tif (is_zero_ether_addr((struct ether_addr *)nic->mac_addr))\n+\tif (is_zero_ether_addr((struct rte_ether_addr *)nic->mac_addr))\n \t\teth_random_addr(&nic->mac_addr[0]);\n \n-\tether_addr_copy((struct ether_addr *)nic->mac_addr,\n+\tether_addr_copy((struct rte_ether_addr *)nic->mac_addr,\n \t\t\t&eth_dev->data->mac_addrs[0]);\n \n \tret = nicvf_mbox_set_mac_addr(nic, nic->mac_addr);\ndiff --git a/drivers/net/vdev_netvsc/vdev_netvsc.c b/drivers/net/vdev_netvsc/vdev_netvsc.c\nindex 801f54c96..ef02fdcdd 100644\n--- a/drivers/net/vdev_netvsc/vdev_netvsc.c\n+++ b/drivers/net/vdev_netvsc/vdev_netvsc.c\n@@ -68,7 +68,7 @@ struct vdev_netvsc_ctx {\n \tchar devargs[256];\t\t   /**< Fail-safe device arguments. */\n \tchar if_name[IF_NAMESIZE];\t   /**< NetVSC netdevice name. */\n \tunsigned int if_index;\t\t   /**< NetVSC netdevice index. */\n-\tstruct ether_addr if_addr;\t   /**< NetVSC MAC address. */\n+\tstruct rte_ether_addr if_addr;\t   /**< NetVSC MAC address. */\n \tint pipe[2];\t\t\t   /**< Fail-safe communication pipe. */\n \tchar yield[256];\t\t   /**< PCI sub-device arguments. */\n };\n@@ -157,7 +157,7 @@ vdev_netvsc_iface_is_netvsc(const struct if_nameindex *iface)\n  */\n static int\n vdev_netvsc_foreach_iface(int (*func)(const struct if_nameindex *iface,\n-\t\t\t\t      const struct ether_addr *eth_addr,\n+\t\t\t\t      const struct rte_ether_addr *eth_addr,\n \t\t\t\t      va_list ap), int is_netvsc, ...)\n {\n \tstruct if_nameindex *iface = if_nameindex();\n@@ -178,7 +178,7 @@ vdev_netvsc_foreach_iface(int (*func)(const struct if_nameindex *iface,\n \tfor (i = 0; iface[i].if_name; ++i) {\n \t\tint is_netvsc_ret;\n \t\tstruct ifreq req;\n-\t\tstruct ether_addr eth_addr;\n+\t\tstruct rte_ether_addr eth_addr;\n \t\tva_list ap;\n \n \t\tis_netvsc_ret = vdev_netvsc_iface_is_netvsc(&iface[i]) ? 1 : 0;\n@@ -368,7 +368,7 @@ vdev_netvsc_sysfs_readlink(char *buf, size_t size, const char *if_name,\n  */\n static int\n vdev_netvsc_device_probe(const struct if_nameindex *iface,\n-\t\t    const struct ether_addr *eth_addr,\n+\t\t    const struct rte_ether_addr *eth_addr,\n \t\t    va_list ap)\n {\n \tstruct vdev_netvsc_ctx *ctx = va_arg(ap, struct vdev_netvsc_ctx *);\n@@ -507,7 +507,7 @@ vdev_netvsc_alarm(__rte_unused void *arg)\n  */\n static int\n vdev_netvsc_netvsc_probe(const struct if_nameindex *iface,\n-\t\t\t const struct ether_addr *eth_addr,\n+\t\t\t const struct rte_ether_addr *eth_addr,\n \t\t\t va_list ap)\n {\n \tconst char *name = va_arg(ap, const char *);\n@@ -527,7 +527,7 @@ vdev_netvsc_netvsc_probe(const struct if_nameindex *iface,\n \t\t\t\tif (!strcmp(pair->value, iface->if_name))\n \t\t\t\t\tbreak;\n \t\t\t} else if (!strcmp(pair->key, VDEV_NETVSC_ARG_MAC)) {\n-\t\t\t\tstruct ether_addr tmp;\n+\t\t\t\tstruct rte_ether_addr tmp;\n \n \t\t\t\tif (sscanf(pair->value,\n \t\t\t\t\t   \"%\" SCNx8 \":%\" SCNx8 \":%\" SCNx8 \":\"\ndiff --git a/drivers/net/vhost/rte_eth_vhost.c b/drivers/net/vhost/rte_eth_vhost.c\nindex b2cda0483..6705e90db 100644\n--- a/drivers/net/vhost/rte_eth_vhost.c\n+++ b/drivers/net/vhost/rte_eth_vhost.c\n@@ -43,7 +43,7 @@ static const char *valid_arguments[] = {\n \tNULL\n };\n \n-static struct ether_addr base_eth_addr = {\n+static struct rte_ether_addr base_eth_addr = {\n \t.addr_bytes = {\n \t\t0x56 /* V */,\n \t\t0x48 /* H */,\n@@ -325,10 +325,10 @@ static inline void\n vhost_count_multicast_broadcast(struct vhost_queue *vq,\n \t\t\t\tstruct rte_mbuf *mbuf)\n {\n-\tstruct ether_addr *ea = NULL;\n+\tstruct rte_ether_addr *ea = NULL;\n \tstruct vhost_stats *pstats = &vq->stats;\n \n-\tea = rte_pktmbuf_mtod(mbuf, struct ether_addr *);\n+\tea = rte_pktmbuf_mtod(mbuf, struct rte_ether_addr *);\n \tif (is_multicast_ether_addr(ea)) {\n \t\tif (is_broadcast_ether_addr(ea))\n \t\t\tpstats->xstats[VHOST_BROADCAST_PKT]++;\n@@ -1206,7 +1206,7 @@ eth_dev_vhost_create(struct rte_vdev_device *dev, char *iface_name,\n \tstruct rte_eth_dev_data *data;\n \tstruct pmd_internal *internal = NULL;\n \tstruct rte_eth_dev *eth_dev = NULL;\n-\tstruct ether_addr *eth_addr = NULL;\n+\tstruct rte_ether_addr *eth_addr = NULL;\n \tstruct rte_vhost_vring_state *vring_state = NULL;\n \tstruct internal_list *list = NULL;\n \ndiff --git a/drivers/net/virtio/virtio_ethdev.c b/drivers/net/virtio/virtio_ethdev.c\nindex d25c08f0a..9b99bf3eb 100644\n--- a/drivers/net/virtio/virtio_ethdev.c\n+++ b/drivers/net/virtio/virtio_ethdev.c\n@@ -65,11 +65,11 @@ static void virtio_dev_free_mbufs(struct rte_eth_dev *dev);\n static int virtio_vlan_filter_set(struct rte_eth_dev *dev,\n \t\t\t\tuint16_t vlan_id, int on);\n static int virtio_mac_addr_add(struct rte_eth_dev *dev,\n-\t\t\t\tstruct ether_addr *mac_addr,\n+\t\t\t\tstruct rte_ether_addr *mac_addr,\n \t\t\t\tuint32_t index, uint32_t vmdq);\n static void virtio_mac_addr_remove(struct rte_eth_dev *dev, uint32_t index);\n static int virtio_mac_addr_set(struct rte_eth_dev *dev,\n-\t\t\t\tstruct ether_addr *mac_addr);\n+\t\t\t\tstruct rte_ether_addr *mac_addr);\n \n static int virtio_intr_disable(struct rte_eth_dev *dev);\n \n@@ -1142,11 +1142,11 @@ virtio_mac_table_set(struct virtio_hw *hw,\n }\n \n static int\n-virtio_mac_addr_add(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n+virtio_mac_addr_add(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr,\n \t\t    uint32_t index, uint32_t vmdq __rte_unused)\n {\n \tstruct virtio_hw *hw = dev->data->dev_private;\n-\tconst struct ether_addr *addrs = dev->data->mac_addrs;\n+\tconst struct rte_ether_addr *addrs = dev->data->mac_addrs;\n \tunsigned int i;\n \tstruct virtio_net_ctrl_mac *uc, *mc;\n \n@@ -1161,7 +1161,7 @@ virtio_mac_addr_add(struct rte_eth_dev *dev, struct ether_addr *mac_addr,\n \tmc->entries = 0;\n \n \tfor (i = 0; i < VIRTIO_MAX_MAC_ADDRS; i++) {\n-\t\tconst struct ether_addr *addr\n+\t\tconst struct rte_ether_addr *addr\n \t\t\t= (i == index) ? mac_addr : addrs + i;\n \t\tstruct virtio_net_ctrl_mac *tbl\n \t\t\t= is_multicast_ether_addr(addr) ? mc : uc;\n@@ -1176,7 +1176,7 @@ static void\n virtio_mac_addr_remove(struct rte_eth_dev *dev, uint32_t index)\n {\n \tstruct virtio_hw *hw = dev->data->dev_private;\n-\tstruct ether_addr *addrs = dev->data->mac_addrs;\n+\tstruct rte_ether_addr *addrs = dev->data->mac_addrs;\n \tstruct virtio_net_ctrl_mac *uc, *mc;\n \tunsigned int i;\n \n@@ -1204,7 +1204,7 @@ virtio_mac_addr_remove(struct rte_eth_dev *dev, uint32_t index)\n }\n \n static int\n-virtio_mac_addr_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr)\n+virtio_mac_addr_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr)\n {\n \tstruct virtio_hw *hw = dev->data->dev_private;\n \n@@ -1395,7 +1395,7 @@ virtio_notify_peers(struct rte_eth_dev *dev)\n \t\treturn;\n \n \trarp_mbuf = rte_net_make_rarp_packet(rxvq->mpool,\n-\t\t\t(struct ether_addr *)hw->mac_addr);\n+\t\t\t(struct rte_ether_addr *)hw->mac_addr);\n \tif (rarp_mbuf == NULL) {\n \t\tPMD_DRV_LOG(ERR, \"failed to make RARP packet.\");\n \t\treturn;\n@@ -1662,7 +1662,7 @@ virtio_init_device(struct rte_eth_dev *eth_dev, uint64_t req_features)\n \n \t/* Copy the permanent MAC address to: virtio_hw */\n \tvirtio_get_hwaddr(hw);\n-\tether_addr_copy((struct ether_addr *) hw->mac_addr,\n+\tether_addr_copy((struct rte_ether_addr *)hw->mac_addr,\n \t\t\t&eth_dev->data->mac_addrs[0]);\n \tPMD_INIT_LOG(DEBUG,\n \t\t     \"PORT MAC: %02X:%02X:%02X:%02X:%02X:%02X\",\ndiff --git a/drivers/net/virtio/virtio_rxtx.c b/drivers/net/virtio/virtio_rxtx.c\nindex 8c56f238f..fa9c855e8 100644\n--- a/drivers/net/virtio/virtio_rxtx.c\n+++ b/drivers/net/virtio/virtio_rxtx.c\n@@ -1092,7 +1092,7 @@ static inline void\n virtio_update_packet_stats(struct virtnet_stats *stats, struct rte_mbuf *mbuf)\n {\n \tuint32_t s = mbuf->pkt_len;\n-\tstruct ether_addr *ea;\n+\tstruct rte_ether_addr *ea;\n \n \tstats->bytes += s;\n \n@@ -1113,7 +1113,7 @@ virtio_update_packet_stats(struct virtnet_stats *stats, struct rte_mbuf *mbuf)\n \t\t\tstats->size_bins[7]++;\n \t}\n \n-\tea = rte_pktmbuf_mtod(mbuf, struct ether_addr *);\n+\tea = rte_pktmbuf_mtod(mbuf, struct rte_ether_addr *);\n \tif (is_multicast_ether_addr(ea)) {\n \t\tif (is_broadcast_ether_addr(ea))\n \t\t\tstats->broadcast++;\ndiff --git a/drivers/net/vmxnet3/vmxnet3_ethdev.c b/drivers/net/vmxnet3/vmxnet3_ethdev.c\nindex f54536b49..3ad1e9e09 100644\n--- a/drivers/net/vmxnet3/vmxnet3_ethdev.c\n+++ b/drivers/net/vmxnet3/vmxnet3_ethdev.c\n@@ -92,7 +92,7 @@ static int vmxnet3_dev_vlan_filter_set(struct rte_eth_dev *dev,\n \t\t\t\t       uint16_t vid, int on);\n static int vmxnet3_dev_vlan_offload_set(struct rte_eth_dev *dev, int mask);\n static int vmxnet3_mac_addr_set(struct rte_eth_dev *dev,\n-\t\t\t\t struct ether_addr *mac_addr);\n+\t\t\t\t struct rte_ether_addr *mac_addr);\n static void vmxnet3_interrupt_handler(void *param);\n \n int vmxnet3_logtype_init;\n@@ -316,7 +316,7 @@ eth_vmxnet3_dev_init(struct rte_eth_dev *eth_dev)\n \t\treturn -ENOMEM;\n \t}\n \t/* Copy the permanent MAC address */\n-\tether_addr_copy((struct ether_addr *) hw->perm_addr,\n+\tether_addr_copy((struct rte_ether_addr *)hw->perm_addr,\n \t\t\t&eth_dev->data->mac_addrs[0]);\n \n \tPMD_INIT_LOG(DEBUG, \"MAC Address : %02x:%02x:%02x:%02x:%02x:%02x\",\n@@ -1205,11 +1205,11 @@ vmxnet3_dev_supported_ptypes_get(struct rte_eth_dev *dev)\n }\n \n static int\n-vmxnet3_mac_addr_set(struct rte_eth_dev *dev, struct ether_addr *mac_addr)\n+vmxnet3_mac_addr_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr)\n {\n \tstruct vmxnet3_hw *hw = dev->data->dev_private;\n \n-\tether_addr_copy(mac_addr, (struct ether_addr *)(hw->perm_addr));\n+\tether_addr_copy(mac_addr, (struct rte_ether_addr *)(hw->perm_addr));\n \tvmxnet3_write_mac(hw, mac_addr->addr_bytes);\n \treturn 0;\n }\ndiff --git a/drivers/net/vmxnet3/vmxnet3_rxtx.c b/drivers/net/vmxnet3/vmxnet3_rxtx.c\nindex 4867a64f3..b691141b5 100644\n--- a/drivers/net/vmxnet3/vmxnet3_rxtx.c\n+++ b/drivers/net/vmxnet3/vmxnet3_rxtx.c\n@@ -676,7 +676,7 @@ vmxnet3_guess_mss(struct vmxnet3_hw *hw, const Vmxnet3_RxCompDesc *rcd,\n \n \tptr = rte_pktmbuf_mtod(rxm, char *);\n \tslen = rte_pktmbuf_data_len(rxm);\n-\thlen = sizeof(struct ether_hdr);\n+\thlen = sizeof(struct rte_ether_hdr);\n \n \tif (rcd->v4) {\n \t\tif (unlikely(slen < hlen + sizeof(struct ipv4_hdr)))\n@@ -703,7 +703,7 @@ vmxnet3_guess_mss(struct vmxnet3_hw *hw, const Vmxnet3_RxCompDesc *rcd,\n \n \tif (unlikely(slen < hlen + sizeof(struct tcp_hdr)))\n \t\treturn hw->mtu - hlen - sizeof(struct tcp_hdr) +\n-\t\t\t\tsizeof(struct ether_hdr);\n+\t\t\t\tsizeof(struct rte_ether_hdr);\n \n \ttcp_hdr = (struct tcp_hdr *)(ptr + hlen);\n \thlen += (tcp_hdr->data_off & 0xf0) >> 2;\n@@ -712,7 +712,7 @@ vmxnet3_guess_mss(struct vmxnet3_hw *hw, const Vmxnet3_RxCompDesc *rcd,\n \t\treturn (rte_pktmbuf_pkt_len(rxm) - hlen +\n \t\t\t\trxm->udata64 - 1) / rxm->udata64;\n \telse\n-\t\treturn hw->mtu - hlen + sizeof(struct ether_hdr);\n+\t\treturn hw->mtu - hlen + sizeof(struct rte_ether_hdr);\n }\n \n /* Receive side checksum and other offloads */\ndiff --git a/examples/bbdev_app/main.c b/examples/bbdev_app/main.c\nindex d68c06aef..2675a16f0 100644\n--- a/examples/bbdev_app/main.c\n+++ b/examples/bbdev_app/main.c\n@@ -273,7 +273,7 @@ signal_handler(int signum)\n }\n \n static void\n-print_mac(unsigned int portid, struct ether_addr *bbdev_ports_eth_address)\n+print_mac(unsigned int portid, struct rte_ether_addr *bbdev_ports_eth_address)\n {\n \tprintf(\"Port %u, MAC address: %02X:%02X:%02X:%02X:%02X:%02X\\n\\n\",\n \t\t\t(unsigned int) portid,\n@@ -341,14 +341,14 @@ check_port_link_status(uint16_t port_id)\n static inline void\n add_ether_hdr(struct rte_mbuf *pkt_src, struct rte_mbuf *pkt_dst)\n {\n-\tstruct ether_hdr *eth_from;\n-\tstruct ether_hdr *eth_to;\n+\tstruct rte_ether_hdr *eth_from;\n+\tstruct rte_ether_hdr *eth_to;\n \n-\teth_from = rte_pktmbuf_mtod(pkt_src, struct ether_hdr *);\n-\teth_to = rte_pktmbuf_mtod(pkt_dst, struct ether_hdr *);\n+\teth_from = rte_pktmbuf_mtod(pkt_src, struct rte_ether_hdr *);\n+\teth_to = rte_pktmbuf_mtod(pkt_dst, struct rte_ether_hdr *);\n \n \t/* copy header */\n-\trte_memcpy(eth_to, eth_from, sizeof(struct ether_hdr));\n+\trte_memcpy(eth_to, eth_from, sizeof(struct rte_ether_hdr));\n }\n \n static inline void\n@@ -377,7 +377,7 @@ transform_enc_out_dec_in(struct rte_mbuf **mbufs, uint8_t *temp_buf,\n \n \tfor (i = 0; i < num_pkts; ++i) {\n \t\tuint16_t pkt_data_len = rte_pktmbuf_data_len(mbufs[i]) -\n-\t\t\t\tsizeof(struct ether_hdr);\n+\t\t\t\tsizeof(struct rte_ether_hdr);\n \n \t\t/* Resize the packet if needed */\n \t\tif (pkt_data_len < ncb) {\n@@ -395,7 +395,8 @@ transform_enc_out_dec_in(struct rte_mbuf **mbufs, uint8_t *temp_buf,\n \t\t\tfor (l = start_bit_idx; l < start_bit_idx + d; ++l) {\n \t\t\t\tuint8_t *data = rte_pktmbuf_mtod_offset(\n \t\t\t\t\tmbufs[i], uint8_t *,\n-\t\t\t\t\tsizeof(struct ether_hdr) + (l >> 3));\n+\t\t\t\t\tsizeof(struct rte_ether_hdr) +\n+\t\t\t\t\t(l >> 3));\n \t\t\t\tif (*data & (0x80 >> (l & 7)))\n \t\t\t\t\ttemp_buf[out_idx] = LLR_1_BIT;\n \t\t\t\telse\n@@ -410,7 +411,7 @@ transform_enc_out_dec_in(struct rte_mbuf **mbufs, uint8_t *temp_buf,\n \t\t}\n \n \t\trte_memcpy(rte_pktmbuf_mtod_offset(mbufs[i], uint8_t *,\n-\t\t\t\tsizeof(struct ether_hdr)), temp_buf, ncb);\n+\t\t\t\tsizeof(struct rte_ether_hdr)), temp_buf, ncb);\n \t}\n }\n \n@@ -423,9 +424,9 @@ verify_data(struct rte_mbuf **mbufs, uint16_t num_pkts)\n \t\tstruct rte_mbuf *in = out->userdata;\n \n \t\tif (memcmp(rte_pktmbuf_mtod_offset(in, uint8_t *,\n-\t\t\t\tsizeof(struct ether_hdr)),\n+\t\t\t\tsizeof(struct rte_ether_hdr)),\n \t\t\t\trte_pktmbuf_mtod_offset(out, uint8_t *,\n-\t\t\t\tsizeof(struct ether_hdr)),\n+\t\t\t\tsizeof(struct rte_ether_hdr)),\n \t\t\t\tK / 8 - CRC_24B_LEN))\n \t\t\tprintf(\"Input and output buffers are not equal!\\n\");\n \t}\n@@ -439,7 +440,7 @@ initialize_ports(struct app_config_params *app_params,\n \tuint16_t port_id = app_params->port_id;\n \tuint16_t q;\n \t/* ethernet addresses of ports */\n-\tstruct ether_addr bbdev_port_eth_addr;\n+\tstruct rte_ether_addr bbdev_port_eth_addr;\n \n \t/* initialize ports */\n \tprintf(\"\\nInitializing port %u...\\n\", app_params->port_id);\n@@ -707,14 +708,14 @@ run_encoding(struct lcore_conf *lcore_conf)\n \t\tchar *data;\n \t\tconst uint16_t pkt_data_len =\n \t\t\t\trte_pktmbuf_data_len(rx_pkts_burst[i]) -\n-\t\t\t\tsizeof(struct ether_hdr);\n+\t\t\t\tsizeof(struct rte_ether_hdr);\n \t\t/* save input mbuf pointer for later comparison */\n \t\tenc_out_pkts[i]->userdata = rx_pkts_burst[i];\n \n \t\t/* copy ethernet header */\n \t\trte_pktmbuf_reset(enc_out_pkts[i]);\n \t\tdata = rte_pktmbuf_append(enc_out_pkts[i],\n-\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\tsizeof(struct rte_ether_hdr));\n \t\tif (data == NULL) {\n \t\t\tprintf(\n \t\t\t\t\"Not enough space for ethernet header in encoder output mbuf\\n\");\n@@ -728,7 +729,7 @@ run_encoding(struct lcore_conf *lcore_conf)\n \t\tbbdev_ops_burst[i]->turbo_enc.input.data =\n \t\t\t\trx_pkts_burst[i];\n \t\tbbdev_ops_burst[i]->turbo_enc.input.offset =\n-\t\t\t\tsizeof(struct ether_hdr);\n+\t\t\t\tsizeof(struct rte_ether_hdr);\n \t\t/* Encoder will attach the CRC24B, adjust the length */\n \t\tbbdev_ops_burst[i]->turbo_enc.input.length = in_data_len;\n \n@@ -746,7 +747,7 @@ run_encoding(struct lcore_conf *lcore_conf)\n \t\tbbdev_ops_burst[i]->turbo_enc.output.data =\n \t\t\t\tenc_out_pkts[i];\n \t\tbbdev_ops_burst[i]->turbo_enc.output.offset =\n-\t\t\t\tsizeof(struct ether_hdr);\n+\t\t\t\tsizeof(struct rte_ether_hdr);\n \t}\n \n \t/* Enqueue packets on BBDevice */\n@@ -834,15 +835,15 @@ run_decoding(struct lcore_conf *lcore_conf)\n \n \t\tbbdev_ops_burst[i]->turbo_dec.input.data = recv_pkts_burst[i];\n \t\tbbdev_ops_burst[i]->turbo_dec.input.offset =\n-\t\t\t\tsizeof(struct ether_hdr);\n+\t\t\t\tsizeof(struct rte_ether_hdr);\n \t\tbbdev_ops_burst[i]->turbo_dec.input.length =\n \t\t\t\trte_pktmbuf_data_len(recv_pkts_burst[i])\n-\t\t\t\t- sizeof(struct ether_hdr);\n+\t\t\t\t- sizeof(struct rte_ether_hdr);\n \n \t\tbbdev_ops_burst[i]->turbo_dec.hard_output.data =\n \t\t\t\trecv_pkts_burst[i];\n \t\tbbdev_ops_burst[i]->turbo_dec.hard_output.offset =\n-\t\t\t\tsizeof(struct ether_hdr);\n+\t\t\t\tsizeof(struct rte_ether_hdr);\n \t}\n \n \t/* Enqueue packets on BBDevice */\ndiff --git a/examples/bond/main.c b/examples/bond/main.c\nindex 21a67e531..38d1b40c2 100644\n--- a/examples/bond/main.c\n+++ b/examples/bond/main.c\n@@ -201,7 +201,7 @@ slave_port_init(uint16_t portid, struct rte_mempool *mbuf_pool)\n \t\t\t\t\"Start port %d failed (res=%d)\",\n \t\t\t\tportid, retval);\n \n-\tstruct ether_addr addr;\n+\tstruct rte_ether_addr addr;\n \n \trte_eth_macaddr_get(portid, &addr);\n \tprintf(\"Port %u MAC: \", portid);\n@@ -291,7 +291,7 @@ bond_port_init(struct rte_mempool *mbuf_pool)\n \n \trte_eth_promiscuous_enable(BOND_PORT);\n \n-\tstruct ether_addr addr;\n+\tstruct rte_ether_addr addr;\n \n \trte_eth_macaddr_get(BOND_PORT, &addr);\n \tprintf(\"Port %u MAC: \", (unsigned)BOND_PORT);\n@@ -300,21 +300,22 @@ bond_port_init(struct rte_mempool *mbuf_pool)\n }\n \n static inline size_t\n-get_vlan_offset(struct ether_hdr *eth_hdr, uint16_t *proto)\n+get_vlan_offset(struct rte_ether_hdr *eth_hdr, uint16_t *proto)\n {\n \tsize_t vlan_offset = 0;\n \n \tif (rte_cpu_to_be_16(ETHER_TYPE_VLAN) == *proto) {\n-\t\tstruct vlan_hdr *vlan_hdr = (struct vlan_hdr *)(eth_hdr + 1);\n+\t\tstruct rte_vlan_hdr *vlan_hdr =\n+\t\t\t(struct rte_vlan_hdr *)(eth_hdr + 1);\n \n-\t\tvlan_offset = sizeof(struct vlan_hdr);\n+\t\tvlan_offset = sizeof(struct rte_vlan_hdr);\n \t\t*proto = vlan_hdr->eth_proto;\n \n \t\tif (rte_cpu_to_be_16(ETHER_TYPE_VLAN) == *proto) {\n \t\t\tvlan_hdr = vlan_hdr + 1;\n \n \t\t\t*proto = vlan_hdr->eth_proto;\n-\t\t\tvlan_offset += sizeof(struct vlan_hdr);\n+\t\t\tvlan_offset += sizeof(struct rte_vlan_hdr);\n \t\t}\n \t}\n \treturn vlan_offset;\n@@ -336,9 +337,9 @@ struct global_flag_stru_t *global_flag_stru_p = &global_flag_stru;\n static int lcore_main(__attribute__((unused)) void *arg1)\n {\n \tstruct rte_mbuf *pkts[MAX_PKT_BURST] __rte_cache_aligned;\n-\tstruct ether_addr d_addr;\n+\tstruct rte_ether_addr d_addr;\n \n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct rte_arp_hdr *arp_hdr;\n \tstruct ipv4_hdr *ipv4_hdr;\n \tuint16_t ether_type, offset;\n@@ -370,7 +371,8 @@ static int lcore_main(__attribute__((unused)) void *arg1)\n \t\t\t\tglobal_flag_stru_p->port_packets[0]++;\n \t\t\t\trte_spinlock_unlock(&global_flag_stru_p->lock);\n \t\t\t}\n-\t\t\teth_hdr = rte_pktmbuf_mtod(pkts[i], struct ether_hdr *);\n+\t\t\teth_hdr = rte_pktmbuf_mtod(pkts[i],\n+\t\t\t\t\t\tstruct rte_ether_hdr *);\n \t\t\tether_type = eth_hdr->ether_type;\n \t\t\tif (ether_type == rte_cpu_to_be_16(ETHER_TYPE_VLAN))\n \t\t\t\tprintf(\"VLAN taged frame, offset:\");\n@@ -450,7 +452,7 @@ static void cmd_obj_send_parsed(void *parsed_result,\n \tchar ip_str[INET6_ADDRSTRLEN];\n \n \tstruct rte_mbuf *created_pkt;\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct rte_arp_hdr *arp_hdr;\n \n \tuint32_t bond_ip;\n@@ -470,17 +472,17 @@ static void cmd_obj_send_parsed(void *parsed_result,\n \t\treturn;\n \t}\n \n-\tpkt_size = sizeof(struct ether_hdr) + sizeof(struct rte_arp_hdr);\n+\tpkt_size = sizeof(struct rte_ether_hdr) + sizeof(struct rte_arp_hdr);\n \tcreated_pkt->data_len = pkt_size;\n \tcreated_pkt->pkt_len = pkt_size;\n \n-\teth_hdr = rte_pktmbuf_mtod(created_pkt, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(created_pkt, struct rte_ether_hdr *);\n \trte_eth_macaddr_get(BOND_PORT, &eth_hdr->s_addr);\n \tmemset(&eth_hdr->d_addr, 0xFF, ETHER_ADDR_LEN);\n \teth_hdr->ether_type = rte_cpu_to_be_16(ETHER_TYPE_ARP);\n \n \tarp_hdr = (struct rte_arp_hdr *)(\n-\t\t(char *)eth_hdr + sizeof(struct ether_hdr));\n+\t\t(char *)eth_hdr + sizeof(struct rte_ether_hdr));\n \tarp_hdr->arp_hardware = rte_cpu_to_be_16(RTE_ARP_HRD_ETHER);\n \tarp_hdr->arp_protocol = rte_cpu_to_be_16(ETHER_TYPE_IPv4);\n \tarp_hdr->arp_hlen = ETHER_ADDR_LEN;\n@@ -700,7 +702,7 @@ static void cmd_show_parsed(__attribute__((unused)) void *parsed_result,\n {\n \tuint16_t slaves[16] = {0};\n \tuint8_t len = 16;\n-\tstruct ether_addr addr;\n+\tstruct rte_ether_addr addr;\n \tuint16_t i = 0;\n \n \twhile (i < slaves_count)\t{\ndiff --git a/examples/distributor/main.c b/examples/distributor/main.c\nindex b5499bb12..e4c8c3c18 100644\n--- a/examples/distributor/main.c\n+++ b/examples/distributor/main.c\n@@ -179,7 +179,7 @@ port_init(uint16_t port, struct rte_mempool *mbuf_pool)\n \t\treturn 0;\n \t}\n \n-\tstruct ether_addr addr;\n+\tstruct rte_ether_addr addr;\n \trte_eth_macaddr_get(port, &addr);\n \tprintf(\"Port %u MAC: %02\"PRIx8\" %02\"PRIx8\" %02\"PRIx8\n \t\t\t\" %02\"PRIx8\" %02\"PRIx8\" %02\"PRIx8\"\\n\",\ndiff --git a/examples/ethtool/ethtool-app/ethapp.c b/examples/ethtool/ethtool-app/ethapp.c\nindex a4e64b354..ce7f715f8 100644\n--- a/examples/ethtool/ethtool-app/ethapp.c\n+++ b/examples/ethtool/ethtool-app/ethapp.c\n@@ -30,7 +30,7 @@ struct pcmd_intstr_params {\n struct pcmd_intmac_params {\n \tcmdline_fixed_string_t cmd;\n \tuint16_t port;\n-\tstruct ether_addr mac;\n+\tstruct rte_ether_addr mac;\n };\n struct pcmd_str_params {\n \tcmdline_fixed_string_t cmd;\n@@ -475,7 +475,7 @@ pcmd_macaddr_callback(void *ptr_params,\n \tvoid *ptr_data)\n {\n \tstruct pcmd_intmac_params *params = ptr_params;\n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_addr mac_addr;\n \tint stat;\n \n \tstat = 0;\ndiff --git a/examples/ethtool/ethtool-app/main.c b/examples/ethtool/ethtool-app/main.c\nindex e23d3afd2..27c22cf90 100644\n--- a/examples/ethtool/ethtool-app/main.c\n+++ b/examples/ethtool/ethtool-app/main.c\n@@ -32,7 +32,7 @@ struct txq_port {\n };\n \n struct app_port {\n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_addr mac_addr;\n \tstruct txq_port txq;\n \trte_spinlock_t lock;\n \tint port_active;\n@@ -158,9 +158,9 @@ static void setup_ports(struct app_config *app_cfg, int cnt_ports)\n static void process_frame(struct app_port *ptr_port,\n \tstruct rte_mbuf *ptr_frame)\n {\n-\tstruct ether_hdr *ptr_mac_hdr;\n+\tstruct rte_ether_hdr *ptr_mac_hdr;\n \n-\tptr_mac_hdr = rte_pktmbuf_mtod(ptr_frame, struct ether_hdr *);\n+\tptr_mac_hdr = rte_pktmbuf_mtod(ptr_frame, struct rte_ether_hdr *);\n \tether_addr_copy(&ptr_mac_hdr->s_addr, &ptr_mac_hdr->d_addr);\n \tether_addr_copy(&ptr_port->mac_addr, &ptr_mac_hdr->s_addr);\n }\ndiff --git a/examples/ethtool/lib/rte_ethtool.c b/examples/ethtool/lib/rte_ethtool.c\nindex bf10139e7..299488c1c 100644\n--- a/examples/ethtool/lib/rte_ethtool.c\n+++ b/examples/ethtool/lib/rte_ethtool.c\n@@ -303,7 +303,7 @@ rte_ethtool_net_stop(uint16_t port_id)\n }\n \n int\n-rte_ethtool_net_get_mac_addr(uint16_t port_id, struct ether_addr *addr)\n+rte_ethtool_net_get_mac_addr(uint16_t port_id, struct rte_ether_addr *addr)\n {\n \tRTE_ETH_VALID_PORTID_OR_ERR_RET(port_id, -ENODEV);\n \tif (addr == NULL)\n@@ -314,7 +314,7 @@ rte_ethtool_net_get_mac_addr(uint16_t port_id, struct ether_addr *addr)\n }\n \n int\n-rte_ethtool_net_set_mac_addr(uint16_t port_id, struct ether_addr *addr)\n+rte_ethtool_net_set_mac_addr(uint16_t port_id, struct rte_ether_addr *addr)\n {\n \tif (addr == NULL)\n \t\treturn -EINVAL;\n@@ -323,7 +323,7 @@ rte_ethtool_net_set_mac_addr(uint16_t port_id, struct ether_addr *addr)\n \n int\n rte_ethtool_net_validate_addr(uint16_t port_id __rte_unused,\n-\tstruct ether_addr *addr)\n+\tstruct rte_ether_addr *addr)\n {\n \tif (addr == NULL)\n \t\treturn -EINVAL;\ndiff --git a/examples/ethtool/lib/rte_ethtool.h b/examples/ethtool/lib/rte_ethtool.h\nindex 31cd5ae4e..f17709663 100644\n--- a/examples/ethtool/lib/rte_ethtool.h\n+++ b/examples/ethtool/lib/rte_ethtool.h\n@@ -260,7 +260,7 @@ int rte_ethtool_net_stop(uint16_t port_id);\n  *   - (0) if successful.\n  *   - (-ENODEV) if *port_id* invalid.\n  */\n-int rte_ethtool_net_get_mac_addr(uint16_t port_id, struct ether_addr *addr);\n+int rte_ethtool_net_get_mac_addr(uint16_t port_id, struct rte_ether_addr *addr);\n \n /**\n  * Setting the Ethernet device MAC address.\n@@ -276,7 +276,7 @@ int rte_ethtool_net_get_mac_addr(uint16_t port_id, struct ether_addr *addr);\n  *   - (-EINVAL) if parameters invalid.\n  *   - others depends on the specific operations implementation.\n  */\n-int rte_ethtool_net_set_mac_addr(uint16_t port_id, struct ether_addr *addr);\n+int rte_ethtool_net_set_mac_addr(uint16_t port_id, struct rte_ether_addr *addr);\n \n /**\n  * Validate if the provided MAC address is valid unicast address\n@@ -292,7 +292,8 @@ int rte_ethtool_net_set_mac_addr(uint16_t port_id, struct ether_addr *addr);\n  *   - (-EINVAL) if parameters invalid.\n  *   - others depends on the specific operations implementation.\n  */\n-int rte_ethtool_net_validate_addr(uint16_t port_id, struct ether_addr *addr);\n+int rte_ethtool_net_validate_addr(uint16_t port_id,\n+\t\t\t\tstruct rte_ether_addr *addr);\n \n /**\n  * Setting the Ethernet device maximum Tx unit.\ndiff --git a/examples/eventdev_pipeline/main.c b/examples/eventdev_pipeline/main.c\nindex 58f8904e0..63227f0d5 100644\n--- a/examples/eventdev_pipeline/main.c\n+++ b/examples/eventdev_pipeline/main.c\n@@ -317,7 +317,7 @@ port_init(uint8_t port, struct rte_mempool *mbuf_pool)\n \t}\n \n \t/* Display the port MAC address. */\n-\tstruct ether_addr addr;\n+\tstruct rte_ether_addr addr;\n \trte_eth_macaddr_get(port, &addr);\n \tprintf(\"Port %u MAC: %02\" PRIx8 \" %02\" PRIx8 \" %02\" PRIx8\n \t\t\t   \" %02\" PRIx8 \" %02\" PRIx8 \" %02\" PRIx8 \"\\n\",\ndiff --git a/examples/eventdev_pipeline/pipeline_common.h b/examples/eventdev_pipeline/pipeline_common.h\nindex a6cc912fb..ef23d963a 100644\n--- a/examples/eventdev_pipeline/pipeline_common.h\n+++ b/examples/eventdev_pipeline/pipeline_common.h\n@@ -99,11 +99,11 @@ struct config_data cdata;\n static __rte_always_inline void\n exchange_mac(struct rte_mbuf *m)\n {\n-\tstruct ether_hdr *eth;\n-\tstruct ether_addr addr;\n+\tstruct rte_ether_hdr *eth;\n+\tstruct rte_ether_addr addr;\n \n \t/* change mac addresses on packet (to use mbuf data) */\n-\teth = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \tether_addr_copy(&eth->d_addr, &addr);\n \tether_addr_copy(&addr, &eth->d_addr);\n }\ndiff --git a/examples/flow_classify/flow_classify.c b/examples/flow_classify/flow_classify.c\nindex 199612926..5ae81fab1 100644\n--- a/examples/flow_classify/flow_classify.c\n+++ b/examples/flow_classify/flow_classify.c\n@@ -98,7 +98,7 @@ static struct rte_acl_field_def ipv4_defs[NUM_FIELDS_IPV4] = {\n \t\t.size = sizeof(uint8_t),\n \t\t.field_index = PROTO_FIELD_IPV4,\n \t\t.input_index = PROTO_INPUT_IPV4,\n-\t\t.offset = sizeof(struct ether_hdr) +\n+\t\t.offset = sizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv4_hdr, next_proto_id),\n \t},\n \t/* next input field (IPv4 source address) - 4 consecutive bytes. */\n@@ -108,7 +108,7 @@ static struct rte_acl_field_def ipv4_defs[NUM_FIELDS_IPV4] = {\n \t\t.size = sizeof(uint32_t),\n \t\t.field_index = SRC_FIELD_IPV4,\n \t\t.input_index = SRC_INPUT_IPV4,\n-\t\t.offset = sizeof(struct ether_hdr) +\n+\t\t.offset = sizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv4_hdr, src_addr),\n \t},\n \t/* next input field (IPv4 destination address) - 4 consecutive bytes. */\n@@ -118,7 +118,7 @@ static struct rte_acl_field_def ipv4_defs[NUM_FIELDS_IPV4] = {\n \t\t.size = sizeof(uint32_t),\n \t\t.field_index = DST_FIELD_IPV4,\n \t\t.input_index = DST_INPUT_IPV4,\n-\t\t.offset = sizeof(struct ether_hdr) +\n+\t\t.offset = sizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv4_hdr, dst_addr),\n \t},\n \t/*\n@@ -131,7 +131,7 @@ static struct rte_acl_field_def ipv4_defs[NUM_FIELDS_IPV4] = {\n \t\t.size = sizeof(uint16_t),\n \t\t.field_index = SRCP_FIELD_IPV4,\n \t\t.input_index = SRCP_DESTP_INPUT_IPV4,\n-\t\t.offset = sizeof(struct ether_hdr) +\n+\t\t.offset = sizeof(struct rte_ether_hdr) +\n \t\t\tsizeof(struct ipv4_hdr) +\n \t\t\toffsetof(struct tcp_hdr, src_port),\n \t},\n@@ -141,7 +141,7 @@ static struct rte_acl_field_def ipv4_defs[NUM_FIELDS_IPV4] = {\n \t\t.size = sizeof(uint16_t),\n \t\t.field_index = DSTP_FIELD_IPV4,\n \t\t.input_index = SRCP_DESTP_INPUT_IPV4,\n-\t\t.offset = sizeof(struct ether_hdr) +\n+\t\t.offset = sizeof(struct rte_ether_hdr) +\n \t\t\tsizeof(struct ipv4_hdr) +\n \t\t\toffsetof(struct tcp_hdr, dst_port),\n \t},\n@@ -192,7 +192,7 @@ static inline int\n port_init(uint8_t port, struct rte_mempool *mbuf_pool)\n {\n \tstruct rte_eth_conf port_conf = port_conf_default;\n-\tstruct ether_addr addr;\n+\tstruct rte_ether_addr addr;\n \tconst uint16_t rx_rings = 1, tx_rings = 1;\n \tint retval;\n \tuint16_t q;\ndiff --git a/examples/flow_filtering/main.c b/examples/flow_filtering/main.c\nindex a582ac075..7b8e7c4fa 100644\n--- a/examples/flow_filtering/main.c\n+++ b/examples/flow_filtering/main.c\n@@ -45,7 +45,7 @@ struct rte_flow *flow;\n #include \"flow_blocks.c\"\n \n static inline void\n-print_ether_addr(const char *what, struct ether_addr *eth_addr)\n+print_ether_addr(const char *what, struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n \tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n@@ -56,7 +56,7 @@ static void\n main_loop(void)\n {\n \tstruct rte_mbuf *mbufs[32];\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct rte_flow_error error;\n \tuint16_t nb_rx;\n \tuint16_t i;\n@@ -71,7 +71,7 @@ main_loop(void)\n \t\t\t\t\tstruct rte_mbuf *m = mbufs[j];\n \n \t\t\t\t\teth_hdr = rte_pktmbuf_mtod(m,\n-\t\t\t\t\t\t\tstruct ether_hdr *);\n+\t\t\t\t\t\t\tstruct rte_ether_hdr *);\n \t\t\t\t\tprint_ether_addr(\"src=\",\n \t\t\t\t\t\t\t&eth_hdr->s_addr);\n \t\t\t\t\tprint_ether_addr(\" - dst=\",\ndiff --git a/examples/ip_fragmentation/main.c b/examples/ip_fragmentation/main.c\nindex e90a61e35..a9efb4baa 100644\n--- a/examples/ip_fragmentation/main.c\n+++ b/examples/ip_fragmentation/main.c\n@@ -60,7 +60,7 @@\n  * We have to consider the max possible overhead.\n  */\n #define MTU_OVERHEAD\t\\\n-\t(ETHER_HDR_LEN + ETHER_CRC_LEN + 2 * sizeof(struct vlan_hdr))\n+\t(ETHER_HDR_LEN + ETHER_CRC_LEN + 2 * sizeof(struct rte_vlan_hdr))\n \n /*\n  * Default payload in bytes for the IPv6 packet.\n@@ -90,7 +90,7 @@ static uint16_t nb_rxd = RTE_TEST_RX_DESC_DEFAULT;\n static uint16_t nb_txd = RTE_TEST_TX_DESC_DEFAULT;\n \n /* ethernet addresses of ports */\n-static struct ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n+static struct rte_ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n \n #ifndef IPv4_BYTES\n #define IPv4_BYTES_FMT \"%\" PRIu8 \".%\" PRIu8 \".%\" PRIu8 \".%\" PRIu8\n@@ -252,7 +252,7 @@ l3fwd_simple_forward(struct rte_mbuf *m, struct lcore_queue_conf *qconf,\n \tport_out = port_in;\n \n \t/* Remove the Ethernet header and trailer from the input packet */\n-\trte_pktmbuf_adj(m, (uint16_t)sizeof(struct ether_hdr));\n+\trte_pktmbuf_adj(m, (uint16_t)sizeof(struct rte_ether_hdr));\n \n \t/* Build transmission burst */\n \tlen = qconf->tx_mbufs[port_out].len;\n@@ -340,13 +340,14 @@ l3fwd_simple_forward(struct rte_mbuf *m, struct lcore_queue_conf *qconf,\n \t\tvoid *d_addr_bytes;\n \n \t\tm = qconf->tx_mbufs[port_out].m_table[i];\n-\t\tstruct ether_hdr *eth_hdr = (struct ether_hdr *)\n-\t\t\trte_pktmbuf_prepend(m, (uint16_t)sizeof(struct ether_hdr));\n+\t\tstruct rte_ether_hdr *eth_hdr = (struct rte_ether_hdr *)\n+\t\t\trte_pktmbuf_prepend(m,\n+\t\t\t\t(uint16_t)sizeof(struct rte_ether_hdr));\n \t\tif (eth_hdr == NULL) {\n \t\t\trte_panic(\"No headroom in mbuf.\\n\");\n \t\t}\n \n-\t\tm->l2_len = sizeof(struct ether_hdr);\n+\t\tm->l2_len = sizeof(struct rte_ether_hdr);\n \n \t\t/* 02:00:00:00:00:xx */\n \t\td_addr_bytes = &eth_hdr->d_addr.addr_bytes[0];\n@@ -568,7 +569,7 @@ parse_args(int argc, char **argv)\n }\n \n static void\n-print_ethaddr(const char *name, struct ether_addr *eth_addr)\n+print_ethaddr(const char *name, struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n \tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n@@ -669,11 +670,11 @@ check_ptype(int portid)\n static inline void\n parse_ptype(struct rte_mbuf *m)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tuint32_t packet_type = RTE_PTYPE_UNKNOWN;\n \tuint16_t ether_type;\n \n-\teth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \tether_type = eth_hdr->ether_type;\n \tif (ether_type == rte_cpu_to_be_16(ETHER_TYPE_IPv4))\n \t\tpacket_type |= RTE_PTYPE_L3_IPV4_EXT_UNKNOWN;\ndiff --git a/examples/ip_pipeline/cli.c b/examples/ip_pipeline/cli.c\nindex bcf62fbf5..309b2936e 100644\n--- a/examples/ip_pipeline/cli.c\n+++ b/examples/ip_pipeline/cli.c\n@@ -245,7 +245,7 @@ static void\n print_link_info(struct link *link, char *out, size_t out_size)\n {\n \tstruct rte_eth_stats stats;\n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_addr mac_addr;\n \tstruct rte_eth_link eth_link;\n \tuint16_t mtu;\n \n@@ -4777,7 +4777,7 @@ cmd_pipeline_table_rule_delete_default(char **tokens,\n }\n \n static void\n-ether_addr_show(FILE *f, struct ether_addr *addr)\n+ether_addr_show(FILE *f, struct rte_ether_addr *addr)\n {\n \tfprintf(f, \"%02x:%02x:%02x:%02x:%02x:%02x\",\n \t\t(uint32_t)addr->addr_bytes[0], (uint32_t)addr->addr_bytes[1],\ndiff --git a/examples/ip_pipeline/parser.c b/examples/ip_pipeline/parser.c\nindex ffcdeb3a6..4777f9ffd 100644\n--- a/examples/ip_pipeline/parser.c\n+++ b/examples/ip_pipeline/parser.c\n@@ -513,13 +513,13 @@ inet_pton6(const char *src, unsigned char *dst)\n \treturn 1;\n }\n \n-static struct ether_addr *\n+static struct rte_ether_addr *\n my_ether_aton(const char *a)\n {\n \tint i;\n \tchar *end;\n \tunsigned long o[ETHER_ADDR_LEN];\n-\tstatic struct ether_addr ether_addr;\n+\tstatic struct rte_ether_addr ether_addr;\n \n \ti = 0;\n \tdo {\n@@ -553,7 +553,7 @@ my_ether_aton(const char *a)\n \t} else\n \t\treturn NULL;\n \n-\treturn (struct ether_addr *)&ether_addr;\n+\treturn (struct rte_ether_addr *)&ether_addr;\n }\n \n int\n@@ -581,15 +581,15 @@ parse_ipv6_addr(const char *token, struct in6_addr *ipv6)\n }\n \n int\n-parse_mac_addr(const char *token, struct ether_addr *addr)\n+parse_mac_addr(const char *token, struct rte_ether_addr *addr)\n {\n-\tstruct ether_addr *tmp;\n+\tstruct rte_ether_addr *tmp;\n \n \ttmp = my_ether_aton(token);\n \tif (tmp == NULL)\n \t\treturn -1;\n \n-\tmemcpy(addr, tmp, sizeof(struct ether_addr));\n+\tmemcpy(addr, tmp, sizeof(struct rte_ether_addr));\n \treturn 0;\n }\n \ndiff --git a/examples/ip_pipeline/parser.h b/examples/ip_pipeline/parser.h\nindex 261a8c858..4538f675d 100644\n--- a/examples/ip_pipeline/parser.h\n+++ b/examples/ip_pipeline/parser.h\n@@ -47,7 +47,7 @@ int parse_hex_string(char *src, uint8_t *dst, uint32_t *size);\n \n int parse_ipv4_addr(const char *token, struct in_addr *ipv4);\n int parse_ipv6_addr(const char *token, struct in6_addr *ipv6);\n-int parse_mac_addr(const char *token, struct ether_addr *addr);\n+int parse_mac_addr(const char *token, struct rte_ether_addr *addr);\n int parse_mpls_labels(char *string, uint32_t *labels, uint32_t *n_labels);\n \n struct cpu_core_params {\ndiff --git a/examples/ip_reassembly/main.c b/examples/ip_reassembly/main.c\nindex 17b55d4c7..d9ecac006 100644\n--- a/examples/ip_reassembly/main.c\n+++ b/examples/ip_reassembly/main.c\n@@ -95,7 +95,7 @@ static uint16_t nb_rxd = RTE_TEST_RX_DESC_DEFAULT;\n static uint16_t nb_txd = RTE_TEST_TX_DESC_DEFAULT;\n \n /* ethernet addresses of ports */\n-static struct ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n+static struct rte_ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n \n #ifndef IPv4_BYTES\n #define IPv4_BYTES_FMT \"%\" PRIu8 \".%\" PRIu8 \".%\" PRIu8 \".%\" PRIu8\n@@ -308,7 +308,7 @@ static inline void\n reassemble(struct rte_mbuf *m, uint16_t portid, uint32_t queue,\n \tstruct lcore_queue_conf *qconf, uint64_t tms)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct rte_ip_frag_tbl *tbl;\n \tstruct rte_ip_frag_death_row *dr;\n \tstruct rx_queue *rxq;\n@@ -318,7 +318,7 @@ reassemble(struct rte_mbuf *m, uint16_t portid, uint32_t queue,\n \n \trxq = &qconf->rx_queue_list[queue];\n \n-\teth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \tdst_port = portid;\n \n@@ -350,7 +350,7 @@ reassemble(struct rte_mbuf *m, uint16_t portid, uint32_t queue,\n \t\t\tif (mo != m) {\n \t\t\t\tm = mo;\n \t\t\t\teth_hdr = rte_pktmbuf_mtod(m,\n-\t\t\t\t\tstruct ether_hdr *);\n+\t\t\t\t\tstruct rte_ether_hdr *);\n \t\t\t\tip_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \t\t\t}\n \t\t}\n@@ -388,7 +388,8 @@ reassemble(struct rte_mbuf *m, uint16_t portid, uint32_t queue,\n \n \t\t\tif (mo != m) {\n \t\t\t\tm = mo;\n-\t\t\t\teth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\t\t\t\teth_hdr = rte_pktmbuf_mtod(m,\n+\t\t\t\t\t\t\tstruct rte_ether_hdr *);\n \t\t\t\tip_hdr = (struct ipv6_hdr *)(eth_hdr + 1);\n \t\t\t}\n \t\t}\n@@ -691,7 +692,7 @@ parse_args(int argc, char **argv)\n }\n \n static void\n-print_ethaddr(const char *name, const struct ether_addr *eth_addr)\n+print_ethaddr(const char *name, const struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n \tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\ndiff --git a/examples/ipsec-secgw/ipsec-secgw.c b/examples/ipsec-secgw/ipsec-secgw.c\nindex 478dd80c2..66e92165d 100644\n--- a/examples/ipsec-secgw/ipsec-secgw.c\n+++ b/examples/ipsec-secgw/ipsec-secgw.c\n@@ -233,9 +233,9 @@ static inline void\n prepare_one_packet(struct rte_mbuf *pkt, struct ipsec_traffic *t)\n {\n \tuint8_t *nlp;\n-\tstruct ether_hdr *eth;\n+\tstruct rte_ether_hdr *eth;\n \n-\teth = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\teth = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \tif (eth->ether_type == rte_cpu_to_be_16(ETHER_TYPE_IPv4)) {\n \t\tnlp = (uint8_t *)rte_pktmbuf_adj(pkt, ETHER_HDR_LEN);\n \t\tnlp = RTE_PTR_ADD(nlp, offsetof(struct ip, ip_p));\n@@ -325,11 +325,12 @@ prepare_tx_pkt(struct rte_mbuf *pkt, uint16_t port,\n \t\tconst struct lcore_conf *qconf)\n {\n \tstruct ip *ip;\n-\tstruct ether_hdr *ethhdr;\n+\tstruct rte_ether_hdr *ethhdr;\n \n \tip = rte_pktmbuf_mtod(pkt, struct ip *);\n \n-\tethhdr = (struct ether_hdr *)rte_pktmbuf_prepend(pkt, ETHER_HDR_LEN);\n+\tethhdr = (struct rte_ether_hdr *)\n+\t\trte_pktmbuf_prepend(pkt, ETHER_HDR_LEN);\n \n \tif (ip->ip_v == IPVERSION) {\n \t\tpkt->ol_flags |= qconf->outbound.ipv4_offloads;\n@@ -352,9 +353,9 @@ prepare_tx_pkt(struct rte_mbuf *pkt, uint16_t port,\n \t}\n \n \tmemcpy(&ethhdr->s_addr, &ethaddr_tbl[port].src,\n-\t\t\tsizeof(struct ether_addr));\n+\t\t\tsizeof(struct rte_ether_addr));\n \tmemcpy(&ethhdr->d_addr, &ethaddr_tbl[port].dst,\n-\t\t\tsizeof(struct ether_addr));\n+\t\t\tsizeof(struct rte_ether_addr));\n }\n \n static inline void\n@@ -1424,7 +1425,7 @@ parse_args(int32_t argc, char **argv)\n }\n \n static void\n-print_ethaddr(const char *name, const struct ether_addr *eth_addr)\n+print_ethaddr(const char *name, const struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n \tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n@@ -1435,7 +1436,7 @@ print_ethaddr(const char *name, const struct ether_addr *eth_addr)\n  * Update destination ethaddr for the port.\n  */\n int\n-add_dst_ethaddr(uint16_t port, const struct ether_addr *addr)\n+add_dst_ethaddr(uint16_t port, const struct rte_ether_addr *addr)\n {\n \tif (port >= RTE_DIM(ethaddr_tbl))\n \t\treturn -EINVAL;\n@@ -1832,7 +1833,7 @@ port_init(uint16_t portid, uint64_t req_rx_offloads, uint64_t req_tx_offloads)\n \tuint16_t tx_queueid, rx_queueid, queue, lcore_id;\n \tint32_t ret, socket_id;\n \tstruct lcore_conf *qconf;\n-\tstruct ether_addr ethaddr;\n+\tstruct rte_ether_addr ethaddr;\n \tstruct rte_eth_conf local_port_conf = port_conf;\n \n \trte_eth_dev_info_get(portid, &dev_info);\ndiff --git a/examples/ipsec-secgw/ipsec.h b/examples/ipsec-secgw/ipsec.h\nindex 589398f6f..e9272d74b 100644\n--- a/examples/ipsec-secgw/ipsec.h\n+++ b/examples/ipsec-secgw/ipsec.h\n@@ -306,7 +306,7 @@ sa_check_offloads(uint16_t port_id, uint64_t *rx_offloads,\n \t\tuint64_t *tx_offloads);\n \n int\n-add_dst_ethaddr(uint16_t port, const struct ether_addr *addr);\n+add_dst_ethaddr(uint16_t port, const struct rte_ether_addr *addr);\n \n void\n enqueue_cop_burst(struct cdev_qp *cqp);\ndiff --git a/examples/ipsec-secgw/parser.c b/examples/ipsec-secgw/parser.c\nindex b0a8ee23b..fc8c238fe 100644\n--- a/examples/ipsec-secgw/parser.c\n+++ b/examples/ipsec-secgw/parser.c\n@@ -324,7 +324,7 @@ parse_uint8x16(const char *s, uint8_t *v, uint8_t ls)\n }\n \n static int\n-parse_mac(const char *str, struct ether_addr *addr)\n+parse_mac(const char *str, struct rte_ether_addr *addr)\n {\n \tuint32_t i;\n \n@@ -499,7 +499,7 @@ cfg_parse_neigh(void *parsed_result, __rte_unused struct cmdline *cl,\n \tint32_t rc;\n \tstruct cfg_neigh_add_item *res;\n \tstruct parse_status *st;\n-\tstruct ether_addr mac;\n+\tstruct rte_ether_addr mac;\n \n \tst = data;\n \tres = parsed_result;\ndiff --git a/examples/ipv4_multicast/main.c b/examples/ipv4_multicast/main.c\nindex 1eef29159..a047197c7 100644\n--- a/examples/ipv4_multicast/main.c\n+++ b/examples/ipv4_multicast/main.c\n@@ -80,7 +80,7 @@ static uint16_t nb_rxd = RTE_TEST_RX_DESC_DEFAULT;\n static uint16_t nb_txd = RTE_TEST_TX_DESC_DEFAULT;\n \n /* ethernet addresses of ports */\n-static struct ether_addr ports_eth_addr[MAX_PORTS];\n+static struct rte_ether_addr ports_eth_addr[MAX_PORTS];\n \n /* mask of enabled ports */\n static uint32_t enabled_port_mask = 0;\n@@ -267,14 +267,15 @@ mcast_out_pkt(struct rte_mbuf *pkt, int use_clone)\n  * and put it into the outgoing queue for the given port.\n  */\n static inline void\n-mcast_send_pkt(struct rte_mbuf *pkt, struct ether_addr *dest_addr,\n+mcast_send_pkt(struct rte_mbuf *pkt, struct rte_ether_addr *dest_addr,\n \t\tstruct lcore_queue_conf *qconf, uint16_t port)\n {\n-\tstruct ether_hdr *ethdr;\n+\tstruct rte_ether_hdr *ethdr;\n \tuint16_t len;\n \n \t/* Construct Ethernet header. */\n-\tethdr = (struct ether_hdr *)rte_pktmbuf_prepend(pkt, (uint16_t)sizeof(*ethdr));\n+\tethdr = (struct rte_ether_hdr *)\n+\t\trte_pktmbuf_prepend(pkt, (uint16_t)sizeof(*ethdr));\n \tRTE_ASSERT(ethdr != NULL);\n \n \tether_addr_copy(dest_addr, &ethdr->d_addr);\n@@ -302,11 +303,12 @@ mcast_forward(struct rte_mbuf *m, struct lcore_queue_conf *qconf)\n \tuint16_t port;\n \tunion {\n \t\tuint64_t as_int;\n-\t\tstruct ether_addr as_addr;\n+\t\tstruct rte_ether_addr as_addr;\n \t} dst_eth_addr;\n \n \t/* Remove the Ethernet header from the input packet */\n-\tiphdr = (struct ipv4_hdr *)rte_pktmbuf_adj(m, (uint16_t)sizeof(struct ether_hdr));\n+\tiphdr = (struct ipv4_hdr *)\n+\t\trte_pktmbuf_adj(m, (uint16_t)sizeof(struct rte_ether_hdr));\n \tRTE_ASSERT(iphdr != NULL);\n \n \tdest_addr = rte_be_to_cpu_32(iphdr->dst_addr);\n@@ -535,7 +537,7 @@ parse_args(int argc, char **argv)\n }\n \n static void\n-print_ethaddr(const char *name, struct ether_addr *eth_addr)\n+print_ethaddr(const char *name, struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n \tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\ndiff --git a/examples/kni/main.c b/examples/kni/main.c\nindex a58774a33..8bea07182 100644\n--- a/examples/kni/main.c\n+++ b/examples/kni/main.c\n@@ -832,7 +832,7 @@ kni_config_network_interface(uint16_t port_id, uint8_t if_up)\n }\n \n static void\n-print_ethaddr(const char *name, struct ether_addr *mac_addr)\n+print_ethaddr(const char *name, struct rte_ether_addr *mac_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n \tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, mac_addr);\n@@ -851,10 +851,10 @@ kni_config_mac_address(uint16_t port_id, uint8_t mac_addr[])\n \t}\n \n \tRTE_LOG(INFO, APP, \"Configure mac address of %d\\n\", port_id);\n-\tprint_ethaddr(\"Address:\", (struct ether_addr *)mac_addr);\n+\tprint_ethaddr(\"Address:\", (struct rte_ether_addr *)mac_addr);\n \n \tret = rte_eth_dev_default_mac_addr_set(port_id,\n-\t\t\t\t\t       (struct ether_addr *)mac_addr);\n+\t\t\t\t\t(struct rte_ether_addr *)mac_addr);\n \tif (ret < 0)\n \t\tRTE_LOG(ERR, APP, \"Failed to config mac_addr for port %d\\n\",\n \t\t\tport_id);\n@@ -912,7 +912,7 @@ kni_alloc(uint16_t port_id)\n \t\t\t}\n \t\t\t/* Get the interface default mac address */\n \t\t\trte_eth_macaddr_get(port_id,\n-\t\t\t\t\t(struct ether_addr *)&conf.mac_addr);\n+\t\t\t\t(struct rte_ether_addr *)&conf.mac_addr);\n \n \t\t\trte_eth_dev_get_mtu(port_id, &conf.mtu);\n \ndiff --git a/examples/l2fwd-cat/l2fwd-cat.c b/examples/l2fwd-cat/l2fwd-cat.c\nindex 0e6078aad..1a8af28e2 100644\n--- a/examples/l2fwd-cat/l2fwd-cat.c\n+++ b/examples/l2fwd-cat/l2fwd-cat.c\n@@ -73,7 +73,7 @@ port_init(uint16_t port, struct rte_mempool *mbuf_pool)\n \t\treturn retval;\n \n \t/* Display the port MAC address. */\n-\tstruct ether_addr addr;\n+\tstruct rte_ether_addr addr;\n \trte_eth_macaddr_get(port, &addr);\n \tprintf(\"Port %u MAC: %02\" PRIx8 \" %02\" PRIx8 \" %02\" PRIx8\n \t\t\t   \" %02\" PRIx8 \" %02\" PRIx8 \" %02\" PRIx8 \"\\n\",\ndiff --git a/examples/l2fwd-crypto/main.c b/examples/l2fwd-crypto/main.c\nindex 06517b471..dd09855e7 100644\n--- a/examples/l2fwd-crypto/main.c\n+++ b/examples/l2fwd-crypto/main.c\n@@ -79,7 +79,7 @@ static uint16_t nb_rxd = RTE_TEST_RX_DESC_DEFAULT;\n static uint16_t nb_txd = RTE_TEST_TX_DESC_DEFAULT;\n \n /* ethernet addresses of ports */\n-static struct ether_addr l2fwd_ports_eth_addr[RTE_MAX_ETHPORTS];\n+static struct rte_ether_addr l2fwd_ports_eth_addr[RTE_MAX_ETHPORTS];\n \n /* mask of enabled ports */\n static uint64_t l2fwd_enabled_port_mask;\n@@ -387,19 +387,19 @@ l2fwd_simple_crypto_enqueue(struct rte_mbuf *m,\n \t\tstruct rte_crypto_op *op,\n \t\tstruct l2fwd_crypto_params *cparams)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct ipv4_hdr *ip_hdr;\n \n \tuint32_t ipdata_offset, data_len;\n \tuint32_t pad_len = 0;\n \tchar *padding;\n \n-\teth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \tif (eth_hdr->ether_type != rte_cpu_to_be_16(ETHER_TYPE_IPv4))\n \t\treturn -1;\n \n-\tipdata_offset = sizeof(struct ether_hdr);\n+\tipdata_offset = sizeof(struct rte_ether_hdr);\n \n \tip_hdr = (struct ipv4_hdr *)(rte_pktmbuf_mtod(m, char *) +\n \t\t\tipdata_offset);\n@@ -593,10 +593,10 @@ l2fwd_send_packet(struct rte_mbuf *m, uint16_t port)\n static void\n l2fwd_mac_updating(struct rte_mbuf *m, uint16_t dest_portid)\n {\n-\tstruct ether_hdr *eth;\n+\tstruct rte_ether_hdr *eth;\n \tvoid *tmp;\n \n-\teth = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \t/* 02:00:00:00:00:xx */\n \ttmp = &eth->d_addr.addr_bytes[0];\ndiff --git a/examples/l2fwd-jobstats/main.c b/examples/l2fwd-jobstats/main.c\nindex a4d28e178..033104d63 100644\n--- a/examples/l2fwd-jobstats/main.c\n+++ b/examples/l2fwd-jobstats/main.c\n@@ -52,7 +52,7 @@ static uint16_t nb_rxd = RTE_TEST_RX_DESC_DEFAULT;\n static uint16_t nb_txd = RTE_TEST_TX_DESC_DEFAULT;\n \n /* ethernet addresses of ports */\n-static struct ether_addr l2fwd_ports_eth_addr[RTE_MAX_ETHPORTS];\n+static struct rte_ether_addr l2fwd_ports_eth_addr[RTE_MAX_ETHPORTS];\n \n /* mask of enabled ports */\n static uint32_t l2fwd_enabled_port_mask;\n@@ -335,14 +335,14 @@ show_stats_cb(__rte_unused void *param)\n static void\n l2fwd_simple_forward(struct rte_mbuf *m, unsigned portid)\n {\n-\tstruct ether_hdr *eth;\n+\tstruct rte_ether_hdr *eth;\n \tvoid *tmp;\n \tint sent;\n \tunsigned dst_port;\n \tstruct rte_eth_dev_tx_buffer *buffer;\n \n \tdst_port = l2fwd_dst_ports[portid];\n-\teth = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \t/* 02:00:00:00:00:xx */\n \ttmp = &eth->d_addr.addr_bytes[0];\ndiff --git a/examples/l2fwd-keepalive/main.c b/examples/l2fwd-keepalive/main.c\nindex 0bf2b5336..21d19932d 100644\n--- a/examples/l2fwd-keepalive/main.c\n+++ b/examples/l2fwd-keepalive/main.c\n@@ -58,7 +58,7 @@ static uint16_t nb_rxd = RTE_TEST_RX_DESC_DEFAULT;\n static uint16_t nb_txd = RTE_TEST_TX_DESC_DEFAULT;\n \n /* ethernet addresses of ports */\n-static struct ether_addr l2fwd_ports_eth_addr[RTE_MAX_ETHPORTS];\n+static struct rte_ether_addr l2fwd_ports_eth_addr[RTE_MAX_ETHPORTS];\n \n /* mask of enabled ports */\n static uint32_t l2fwd_enabled_port_mask;\n@@ -165,14 +165,14 @@ print_stats(__attribute__((unused)) struct rte_timer *ptr_timer,\n static void\n l2fwd_simple_forward(struct rte_mbuf *m, unsigned portid)\n {\n-\tstruct ether_hdr *eth;\n+\tstruct rte_ether_hdr *eth;\n \tvoid *tmp;\n \tint sent;\n \tunsigned dst_port;\n \tstruct rte_eth_dev_tx_buffer *buffer;\n \n \tdst_port = l2fwd_dst_ports[portid];\n-\teth = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \t/* 02:00:00:00:00:xx */\n \ttmp = &eth->d_addr.addr_bytes[0];\ndiff --git a/examples/l2fwd/main.c b/examples/l2fwd/main.c\nindex 6c23215a5..c1d6797b0 100644\n--- a/examples/l2fwd/main.c\n+++ b/examples/l2fwd/main.c\n@@ -59,7 +59,7 @@ static uint16_t nb_rxd = RTE_TEST_RX_DESC_DEFAULT;\n static uint16_t nb_txd = RTE_TEST_TX_DESC_DEFAULT;\n \n /* ethernet addresses of ports */\n-static struct ether_addr l2fwd_ports_eth_addr[RTE_MAX_ETHPORTS];\n+static struct rte_ether_addr l2fwd_ports_eth_addr[RTE_MAX_ETHPORTS];\n \n /* mask of enabled ports */\n static uint32_t l2fwd_enabled_port_mask = 0;\n@@ -151,10 +151,10 @@ print_stats(void)\n static void\n l2fwd_mac_updating(struct rte_mbuf *m, unsigned dest_portid)\n {\n-\tstruct ether_hdr *eth;\n+\tstruct rte_ether_hdr *eth;\n \tvoid *tmp;\n \n-\teth = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \t/* 02:00:00:00:00:xx */\n \ttmp = &eth->d_addr.addr_bytes[0];\ndiff --git a/examples/l3fwd-acl/main.c b/examples/l3fwd-acl/main.c\nindex a322ce4f2..3a0f5820e 100644\n--- a/examples/l3fwd-acl/main.c\n+++ b/examples/l3fwd-acl/main.c\n@@ -82,7 +82,7 @@ static uint16_t nb_rxd = RTE_TEST_RX_DESC_DEFAULT;\n static uint16_t nb_txd = RTE_TEST_TX_DESC_DEFAULT;\n \n /* ethernet addresses of ports */\n-static struct ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n+static struct rte_ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n \n /* mask of enabled ports */\n static uint32_t enabled_port_mask;\n@@ -173,7 +173,7 @@ send_single_packet(struct rte_mbuf *m, uint16_t port);\n \t\t*c = (unsigned char)(ip >> 8 & 0xff);\\\n \t\t*d = (unsigned char)(ip & 0xff);\\\n \t} while (0)\n-#define OFF_ETHHEAD\t(sizeof(struct ether_hdr))\n+#define OFF_ETHHEAD\t(sizeof(struct rte_ether_hdr))\n #define OFF_IPV42PROTO (offsetof(struct ipv4_hdr, next_proto_id))\n #define OFF_IPV62PROTO (offsetof(struct ipv6_hdr, proto))\n #define MBUF_IPV4_2PROTO(m)\t\\\n@@ -542,9 +542,9 @@ dump_acl4_rule(struct rte_mbuf *m, uint32_t sig)\n {\n \tuint32_t offset = sig & ~ACL_DENY_SIGNATURE;\n \tunsigned char a, b, c, d;\n-\tstruct ipv4_hdr *ipv4_hdr = rte_pktmbuf_mtod_offset(m,\n-\t\t\t\t\t\t\t    struct ipv4_hdr *,\n-\t\t\t\t\t\t\t    sizeof(struct ether_hdr));\n+\tstruct ipv4_hdr *ipv4_hdr =\n+\t\trte_pktmbuf_mtod_offset(m, struct ipv4_hdr *,\n+\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \n \tuint32_t_to_char(rte_bswap32(ipv4_hdr->src_addr), &a, &b, &c, &d);\n \tprintf(\"Packet Src:%hhu.%hhu.%hhu.%hhu \", a, b, c, d);\n@@ -566,9 +566,9 @@ dump_acl6_rule(struct rte_mbuf *m, uint32_t sig)\n {\n \tunsigned i;\n \tuint32_t offset = sig & ~ACL_DENY_SIGNATURE;\n-\tstruct ipv6_hdr *ipv6_hdr = rte_pktmbuf_mtod_offset(m,\n-\t\t\t\t\t\t\t    struct ipv6_hdr *,\n-\t\t\t\t\t\t\t    sizeof(struct ether_hdr));\n+\tstruct ipv6_hdr *ipv6_hdr =\n+\t\trte_pktmbuf_mtod_offset(m, struct ipv6_hdr *,\n+\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \n \tprintf(\"Packet Src\");\n \tfor (i = 0; i < RTE_DIM(ipv6_hdr->src_addr); i += sizeof(uint16_t))\n@@ -625,7 +625,7 @@ prepare_one_packet(struct rte_mbuf **pkts_in, struct acl_search_t *acl,\n \n \tif (RTE_ETH_IS_IPV4_HDR(pkt->packet_type)) {\n \t\tipv4_hdr = rte_pktmbuf_mtod_offset(pkt, struct ipv4_hdr *,\n-\t\t\t\t\t\t   sizeof(struct ether_hdr));\n+\t\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \n \t\t/* Check to make sure the packet is valid (RFC1812) */\n \t\tif (is_valid_ipv4_pkt(ipv4_hdr, pkt->pkt_len) >= 0) {\n@@ -1754,7 +1754,7 @@ parse_args(int argc, char **argv)\n }\n \n static void\n-print_ethaddr(const char *name, const struct ether_addr *eth_addr)\n+print_ethaddr(const char *name, const struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n \tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\ndiff --git a/examples/l3fwd-power/main.c b/examples/l3fwd-power/main.c\nindex 3b448acc4..bcd584d3e 100644\n--- a/examples/l3fwd-power/main.c\n+++ b/examples/l3fwd-power/main.c\n@@ -135,7 +135,7 @@ static uint16_t nb_rxd = RTE_TEST_RX_DESC_DEFAULT;\n static uint16_t nb_txd = RTE_TEST_TX_DESC_DEFAULT;\n \n /* ethernet addresses of ports */\n-static struct ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n+static struct rte_ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n \n /* ethernet addresses of ports */\n static rte_spinlock_t locks[RTE_MAX_ETHPORTS];\n@@ -618,11 +618,11 @@ get_ipv4_dst_port(struct ipv4_hdr *ipv4_hdr, uint16_t portid,\n static inline void\n parse_ptype_one(struct rte_mbuf *m)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tuint32_t packet_type = RTE_PTYPE_UNKNOWN;\n \tuint16_t ether_type;\n \n-\teth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \tether_type = eth_hdr->ether_type;\n \tif (ether_type == rte_cpu_to_be_16(ETHER_TYPE_IPv4))\n \t\tpacket_type |= RTE_PTYPE_L3_IPV4_EXT_UNKNOWN;\n@@ -661,18 +661,18 @@ static inline void\n l3fwd_simple_forward(struct rte_mbuf *m, uint16_t portid,\n \t\t\t\tstruct lcore_conf *qconf)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct ipv4_hdr *ipv4_hdr;\n \tvoid *d_addr_bytes;\n \tuint16_t dst_port;\n \n-\teth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \tif (RTE_ETH_IS_IPV4_HDR(m->packet_type)) {\n \t\t/* Handle IPv4 headers.*/\n \t\tipv4_hdr =\n \t\t\trte_pktmbuf_mtod_offset(m, struct ipv4_hdr *,\n-\t\t\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \n #ifdef DO_RFC_1812_CHECKS\n \t\t/* Check to make sure the packet is valid (RFC1812) */\n@@ -710,7 +710,7 @@ l3fwd_simple_forward(struct rte_mbuf *m, uint16_t portid,\n \n \t\tipv6_hdr =\n \t\t\trte_pktmbuf_mtod_offset(m, struct ipv6_hdr *,\n-\t\t\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \n \t\tdst_port = get_ipv6_dst_port(ipv6_hdr, portid,\n \t\t\t\t\tqconf->ipv6_lookup_struct);\n@@ -1577,7 +1577,7 @@ parse_args(int argc, char **argv)\n }\n \n static void\n-print_ethaddr(const char *name, const struct ether_addr *eth_addr)\n+print_ethaddr(const char *name, const struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n \tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\ndiff --git a/examples/l3fwd-vf/main.c b/examples/l3fwd-vf/main.c\nindex 41137f978..2c0c89e21 100644\n--- a/examples/l3fwd-vf/main.c\n+++ b/examples/l3fwd-vf/main.c\n@@ -112,7 +112,7 @@ static uint16_t nb_rxd = RTE_TEST_RX_DESC_DEFAULT;\n static uint16_t nb_txd = RTE_TEST_TX_DESC_DEFAULT;\n \n /* ethernet addresses of ports */\n-static struct ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n+static struct rte_ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n \n /* mask of enabled ports */\n static uint32_t enabled_port_mask = 0;\n@@ -417,15 +417,15 @@ static inline void\n l3fwd_simple_forward(struct rte_mbuf *m, uint16_t portid,\n \t\t      lookup_struct_t *l3fwd_lookup_struct)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct ipv4_hdr *ipv4_hdr;\n \tvoid *tmp;\n \tuint16_t dst_port;\n \n-\teth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \tipv4_hdr = rte_pktmbuf_mtod_offset(m, struct ipv4_hdr *,\n-\t\t\t\t\t   sizeof(struct ether_hdr));\n+\t\t\t\t\t   sizeof(struct rte_ether_hdr));\n \n #ifdef DO_RFC_1812_CHECKS\n \t/* Check to make sure the packet is valid (RFC1812) */\n@@ -788,7 +788,7 @@ parse_args(int argc, char **argv)\n }\n \n static void\n-print_ethaddr(const char *name, const struct ether_addr *eth_addr)\n+print_ethaddr(const char *name, const struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n \tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\ndiff --git a/examples/l3fwd/l3fwd.h b/examples/l3fwd/l3fwd.h\nindex c962deac3..1893f8c3a 100644\n--- a/examples/l3fwd/l3fwd.h\n+++ b/examples/l3fwd/l3fwd.h\n@@ -73,7 +73,7 @@ extern volatile bool force_quit;\n \n /* ethernet addresses of ports */\n extern uint64_t dest_eth_addr[RTE_MAX_ETHPORTS];\n-extern struct ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n+extern struct rte_ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n \n /* mask of enabled ports */\n extern uint32_t enabled_port_mask;\ndiff --git a/examples/l3fwd/l3fwd_altivec.h b/examples/l3fwd/l3fwd_altivec.h\nindex 5ec99f961..0c68aa01c 100644\n--- a/examples/l3fwd/l3fwd_altivec.h\n+++ b/examples/l3fwd/l3fwd_altivec.h\n@@ -68,13 +68,13 @@ processx4_step3(struct rte_mbuf *pkt[FWDSTEP], uint16_t dst_port[FWDSTEP])\n \t*p[2] = te[2];\n \t*p[3] = te[3];\n \n-\trfc1812_process((struct ipv4_hdr *)((struct ether_hdr *)p[0] + 1),\n+\trfc1812_process((struct ipv4_hdr *)((struct rte_ether_hdr *)p[0] + 1),\n \t\t&dst_port[0], pkt[0]->packet_type);\n-\trfc1812_process((struct ipv4_hdr *)((struct ether_hdr *)p[1] + 1),\n+\trfc1812_process((struct ipv4_hdr *)((struct rte_ether_hdr *)p[1] + 1),\n \t\t&dst_port[1], pkt[1]->packet_type);\n-\trfc1812_process((struct ipv4_hdr *)((struct ether_hdr *)p[2] + 1),\n+\trfc1812_process((struct ipv4_hdr *)((struct rte_ether_hdr *)p[2] + 1),\n \t\t&dst_port[2], pkt[2]->packet_type);\n-\trfc1812_process((struct ipv4_hdr *)((struct ether_hdr *)p[3] + 1),\n+\trfc1812_process((struct ipv4_hdr *)((struct rte_ether_hdr *)p[3] + 1),\n \t\t&dst_port[3], pkt[3]->packet_type);\n }\n \n@@ -121,10 +121,10 @@ port_groupx4(uint16_t pn[FWDSTEP + 1], uint16_t *lp, vector unsigned short dp1,\n static inline void\n process_packet(struct rte_mbuf *pkt, uint16_t *dst_port)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tvector unsigned int te, ve;\n \n-\teth_hdr = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \n \tte = *(vector unsigned int *)eth_hdr;\n \tve = (vector unsigned int)val_eth[dst_port[0]];\ndiff --git a/examples/l3fwd/l3fwd_em.c b/examples/l3fwd/l3fwd_em.c\nindex fa8f82be6..64e79b946 100644\n--- a/examples/l3fwd/l3fwd_em.c\n+++ b/examples/l3fwd/l3fwd_em.c\n@@ -561,7 +561,7 @@ em_check_ptype(int portid)\n static inline void\n em_parse_ptype(struct rte_mbuf *m)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tuint32_t packet_type = RTE_PTYPE_UNKNOWN;\n \tuint16_t ether_type;\n \tvoid *l3;\n@@ -569,9 +569,9 @@ em_parse_ptype(struct rte_mbuf *m)\n \tstruct ipv4_hdr *ipv4_hdr;\n \tstruct ipv6_hdr *ipv6_hdr;\n \n-\teth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \tether_type = eth_hdr->ether_type;\n-\tl3 = (uint8_t *)eth_hdr + sizeof(struct ether_hdr);\n+\tl3 = (uint8_t *)eth_hdr + sizeof(struct rte_ether_hdr);\n \tif (ether_type == rte_cpu_to_be_16(ETHER_TYPE_IPv4)) {\n \t\tipv4_hdr = (struct ipv4_hdr *)l3;\n \t\thdr_len = (ipv4_hdr->version_ihl & IPV4_HDR_IHL_MASK) *\ndiff --git a/examples/l3fwd/l3fwd_em.h b/examples/l3fwd/l3fwd_em.h\nindex 228164e26..de1278b04 100644\n--- a/examples/l3fwd/l3fwd_em.h\n+++ b/examples/l3fwd/l3fwd_em.h\n@@ -9,20 +9,20 @@ static __rte_always_inline void\n l3fwd_em_simple_forward(struct rte_mbuf *m, uint16_t portid,\n \t\tstruct lcore_conf *qconf)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct ipv4_hdr *ipv4_hdr;\n \tuint16_t dst_port;\n \tuint32_t tcp_or_udp;\n \tuint32_t l3_ptypes;\n \n-\teth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \ttcp_or_udp = m->packet_type & (RTE_PTYPE_L4_TCP | RTE_PTYPE_L4_UDP);\n \tl3_ptypes = m->packet_type & RTE_PTYPE_L3_MASK;\n \n \tif (tcp_or_udp && (l3_ptypes == RTE_PTYPE_L3_IPV4)) {\n \t\t/* Handle IPv4 headers.*/\n \t\tipv4_hdr = rte_pktmbuf_mtod_offset(m, struct ipv4_hdr *,\n-\t\t\t\t\t\t   sizeof(struct ether_hdr));\n+\t\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \n #ifdef DO_RFC_1812_CHECKS\n \t\t/* Check to make sure the packet is valid (RFC1812) */\n@@ -55,7 +55,7 @@ l3fwd_em_simple_forward(struct rte_mbuf *m, uint16_t portid,\n \t\tstruct ipv6_hdr *ipv6_hdr;\n \n \t\tipv6_hdr = rte_pktmbuf_mtod_offset(m, struct ipv6_hdr *,\n-\t\t\t\t\t\t   sizeof(struct ether_hdr));\n+\t\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \n \t\tdst_port = em_get_ipv6_dst_port(ipv6_hdr, portid,\n \t\t\t\t\tqconf->ipv6_lookup_struct);\ndiff --git a/examples/l3fwd/l3fwd_em_hlm.h b/examples/l3fwd/l3fwd_em_hlm.h\nindex 22c26dce3..ec52a011f 100644\n--- a/examples/l3fwd/l3fwd_em_hlm.h\n+++ b/examples/l3fwd/l3fwd_em_hlm.h\n@@ -92,7 +92,7 @@ em_get_dst_port(const struct lcore_conf *qconf, struct rte_mbuf *pkt,\n \n \t\t/* Handle IPv4 headers.*/\n \t\tipv4_hdr = rte_pktmbuf_mtod_offset(pkt, struct ipv4_hdr *,\n-\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\tsizeof(struct rte_ether_hdr));\n \n \t\tnext_hop = em_get_ipv4_dst_port(ipv4_hdr, portid,\n \t\t\t\tqconf->ipv4_lookup_struct);\n@@ -107,7 +107,7 @@ em_get_dst_port(const struct lcore_conf *qconf, struct rte_mbuf *pkt,\n \n \t\t/* Handle IPv6 headers.*/\n \t\tipv6_hdr = rte_pktmbuf_mtod_offset(pkt, struct ipv6_hdr *,\n-\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\tsizeof(struct rte_ether_hdr));\n \n \t\tnext_hop = em_get_ipv6_dst_port(ipv6_hdr, portid,\n \t\t\t\tqconf->ipv6_lookup_struct);\n@@ -142,7 +142,7 @@ l3fwd_em_send_packets(int nb_rx, struct rte_mbuf **pkts_burst,\n \n \tfor (j = 0; j < EM_HASH_LOOKUP_COUNT && j < nb_rx; j++) {\n \t\trte_prefetch0(rte_pktmbuf_mtod(pkts_burst[j],\n-\t\t\t\t\t       struct ether_hdr *) + 1);\n+\t\t\t\t\t       struct rte_ether_hdr *) + 1);\n \t}\n \n \tfor (j = 0; j < n; j += EM_HASH_LOOKUP_COUNT) {\n@@ -159,8 +159,9 @@ l3fwd_em_send_packets(int nb_rx, struct rte_mbuf **pkts_burst,\n \n \t\tfor (i = 0, pos = j + EM_HASH_LOOKUP_COUNT;\n \t\t     i < EM_HASH_LOOKUP_COUNT && pos < nb_rx; i++, pos++) {\n-\t\t\trte_prefetch0(rte_pktmbuf_mtod(pkts_burst[pos],\n-\t\t\t\t\t\t       struct ether_hdr *) + 1);\n+\t\t\trte_prefetch0(rte_pktmbuf_mtod(\n+\t\t\t\t\tpkts_burst[pos],\n+\t\t\t\t\tstruct rte_ether_hdr *) + 1);\n \t\t}\n \n \t\tif (tcp_or_udp && (l3_type == RTE_PTYPE_L3_IPV4)) {\ndiff --git a/examples/l3fwd/l3fwd_em_hlm_neon.h b/examples/l3fwd/l3fwd_em_hlm_neon.h\nindex 16c8b04ac..3ee2304b5 100644\n--- a/examples/l3fwd/l3fwd_em_hlm_neon.h\n+++ b/examples/l3fwd/l3fwd_em_hlm_neon.h\n@@ -13,7 +13,7 @@ get_ipv4_5tuple(struct rte_mbuf *m0, int32x4_t mask0,\n \t\tunion ipv4_5tuple_host *key)\n {\n \tint32x4_t tmpdata0 = vld1q_s32(rte_pktmbuf_mtod_offset(m0, int32_t *,\n-\t\t\t\tsizeof(struct ether_hdr) +\n+\t\t\t\tsizeof(struct rte_ether_hdr) +\n \t\t\t\toffsetof(struct ipv4_hdr, time_to_live)));\n \n \tkey->xmm = vandq_s32(tmpdata0, mask0);\n@@ -25,17 +25,17 @@ get_ipv6_5tuple(struct rte_mbuf *m0, int32x4_t mask0,\n {\n \tint32x4_t tmpdata0 = vld1q_s32(\n \t\t\trte_pktmbuf_mtod_offset(m0, int *,\n-\t\t\t\tsizeof(struct ether_hdr) +\n+\t\t\t\tsizeof(struct rte_ether_hdr) +\n \t\t\t\toffsetof(struct ipv6_hdr, payload_len)));\n \n \tint32x4_t tmpdata1 = vld1q_s32(\n \t\t\trte_pktmbuf_mtod_offset(m0, int *,\n-\t\t\t\tsizeof(struct ether_hdr) +\n+\t\t\t\tsizeof(struct rte_ether_hdr) +\n \t\t\t\toffsetof(struct ipv6_hdr, payload_len) + 8));\n \n \tint32x4_t tmpdata2 = vld1q_s32(\n \t\t\trte_pktmbuf_mtod_offset(m0, int *,\n-\t\t\t\tsizeof(struct ether_hdr) +\n+\t\t\t\tsizeof(struct rte_ether_hdr) +\n \t\t\t\toffsetof(struct ipv6_hdr, payload_len) + 16));\n \n \tkey->xmm[0] = vandq_s32(tmpdata0, mask0);\ndiff --git a/examples/l3fwd/l3fwd_em_hlm_sse.h b/examples/l3fwd/l3fwd_em_hlm_sse.h\nindex 41e2be958..8156bbb90 100644\n--- a/examples/l3fwd/l3fwd_em_hlm_sse.h\n+++ b/examples/l3fwd/l3fwd_em_hlm_sse.h\n@@ -13,7 +13,7 @@ get_ipv4_5tuple(struct rte_mbuf *m0, __m128i mask0,\n {\n \t __m128i tmpdata0 = _mm_loadu_si128(\n \t\t\trte_pktmbuf_mtod_offset(m0, __m128i *,\n-\t\t\t\tsizeof(struct ether_hdr) +\n+\t\t\t\tsizeof(struct rte_ether_hdr) +\n \t\t\t\toffsetof(struct ipv4_hdr, time_to_live)));\n \n \tkey->xmm = _mm_and_si128(tmpdata0, mask0);\n@@ -25,18 +25,18 @@ get_ipv6_5tuple(struct rte_mbuf *m0, __m128i mask0,\n {\n \t__m128i tmpdata0 = _mm_loadu_si128(\n \t\t\trte_pktmbuf_mtod_offset(m0, __m128i *,\n-\t\t\t\tsizeof(struct ether_hdr) +\n+\t\t\t\tsizeof(struct rte_ether_hdr) +\n \t\t\t\toffsetof(struct ipv6_hdr, payload_len)));\n \n \t__m128i tmpdata1 = _mm_loadu_si128(\n \t\t\trte_pktmbuf_mtod_offset(m0, __m128i *,\n-\t\t\t\tsizeof(struct ether_hdr) +\n+\t\t\t\tsizeof(struct rte_ether_hdr) +\n \t\t\t\toffsetof(struct ipv6_hdr, payload_len) +\n \t\t\t\tsizeof(__m128i)));\n \n \t__m128i tmpdata2 = _mm_loadu_si128(\n \t\t\trte_pktmbuf_mtod_offset(m0, __m128i *,\n-\t\t\t\tsizeof(struct ether_hdr) +\n+\t\t\t\tsizeof(struct rte_ether_hdr) +\n \t\t\t\toffsetof(struct ipv6_hdr, payload_len) +\n \t\t\t\tsizeof(__m128i) + sizeof(__m128i)));\n \ndiff --git a/examples/l3fwd/l3fwd_em_sequential.h b/examples/l3fwd/l3fwd_em_sequential.h\nindex 35cf5eac0..770660e99 100644\n--- a/examples/l3fwd/l3fwd_em_sequential.h\n+++ b/examples/l3fwd/l3fwd_em_sequential.h\n@@ -37,7 +37,7 @@ em_get_dst_port(const struct lcore_conf *qconf, struct rte_mbuf *pkt,\n \n \t\t/* Handle IPv4 headers.*/\n \t\tipv4_hdr = rte_pktmbuf_mtod_offset(pkt, struct ipv4_hdr *,\n-\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\tsizeof(struct rte_ether_hdr));\n \n \t\tnext_hop = em_get_ipv4_dst_port(ipv4_hdr, portid,\n \t\t\t\tqconf->ipv4_lookup_struct);\n@@ -52,7 +52,7 @@ em_get_dst_port(const struct lcore_conf *qconf, struct rte_mbuf *pkt,\n \n \t\t/* Handle IPv6 headers.*/\n \t\tipv6_hdr = rte_pktmbuf_mtod_offset(pkt, struct ipv6_hdr *,\n-\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\tsizeof(struct rte_ether_hdr));\n \n \t\tnext_hop = em_get_ipv6_dst_port(ipv6_hdr, portid,\n \t\t\t\tqconf->ipv6_lookup_struct);\n@@ -81,13 +81,14 @@ l3fwd_em_send_packets(int nb_rx, struct rte_mbuf **pkts_burst,\n \n \tif (nb_rx > 0) {\n \t\trte_prefetch0(rte_pktmbuf_mtod(pkts_burst[0],\n-\t\t\t\t\t       struct ether_hdr *) + 1);\n+\t\t\t\t\t       struct rte_ether_hdr *) + 1);\n \t}\n \n \tfor (i = 1, j = 0; j < nb_rx; i++, j++) {\n \t\tif (i < nb_rx) {\n-\t\t\trte_prefetch0(rte_pktmbuf_mtod(pkts_burst[i],\n-\t\t\t\t\t\t       struct ether_hdr *) + 1);\n+\t\t\trte_prefetch0(rte_pktmbuf_mtod(\n+\t\t\t\t\tpkts_burst[i],\n+\t\t\t\t\tstruct rte_ether_hdr *) + 1);\n \t\t}\n \t\tdst_port[j] = em_get_dst_port(qconf, pkts_burst[j], portid);\n \t}\ndiff --git a/examples/l3fwd/l3fwd_lpm.c b/examples/l3fwd/l3fwd_lpm.c\nindex 60a00639e..b373047f6 100644\n--- a/examples/l3fwd/l3fwd_lpm.c\n+++ b/examples/l3fwd/l3fwd_lpm.c\n@@ -108,18 +108,18 @@ lpm_get_dst_port(const struct lcore_conf *qconf, struct rte_mbuf *pkt,\n {\n \tstruct ipv6_hdr *ipv6_hdr;\n \tstruct ipv4_hdr *ipv4_hdr;\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \n \tif (RTE_ETH_IS_IPV4_HDR(pkt->packet_type)) {\n \n-\t\teth_hdr = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\t\teth_hdr = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \t\tipv4_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \n \t\treturn lpm_get_ipv4_dst_port(ipv4_hdr, portid,\n \t\t\t\t\t     qconf->ipv4_lookup_struct);\n \t} else if (RTE_ETH_IS_IPV6_HDR(pkt->packet_type)) {\n \n-\t\teth_hdr = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\t\teth_hdr = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \t\tipv6_hdr = (struct ipv6_hdr *)(eth_hdr + 1);\n \n \t\treturn lpm_get_ipv6_dst_port(ipv6_hdr, portid,\n@@ -140,7 +140,7 @@ lpm_get_dst_port_with_ipv4(const struct lcore_conf *qconf, struct rte_mbuf *pkt,\n {\n \tuint32_t next_hop;\n \tstruct ipv6_hdr *ipv6_hdr;\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \n \tif (RTE_ETH_IS_IPV4_HDR(pkt->packet_type)) {\n \t\treturn (uint16_t) ((rte_lpm_lookup(qconf->ipv4_lookup_struct,\n@@ -149,7 +149,7 @@ lpm_get_dst_port_with_ipv4(const struct lcore_conf *qconf, struct rte_mbuf *pkt,\n \n \t} else if (RTE_ETH_IS_IPV6_HDR(pkt->packet_type)) {\n \n-\t\teth_hdr = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\t\teth_hdr = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \t\tipv6_hdr = (struct ipv6_hdr *)(eth_hdr + 1);\n \n \t\treturn (uint16_t) ((rte_lpm6_lookup(qconf->ipv6_lookup_struct,\n@@ -380,11 +380,11 @@ lpm_check_ptype(int portid)\n static inline void\n lpm_parse_ptype(struct rte_mbuf *m)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tuint32_t packet_type = RTE_PTYPE_UNKNOWN;\n \tuint16_t ether_type;\n \n-\teth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \tether_type = eth_hdr->ether_type;\n \tif (ether_type == rte_cpu_to_be_16(ETHER_TYPE_IPv4))\n \t\tpacket_type |= RTE_PTYPE_L3_IPV4_EXT_UNKNOWN;\ndiff --git a/examples/l3fwd/l3fwd_lpm.h b/examples/l3fwd/l3fwd_lpm.h\nindex b68868f44..0c98d3fc4 100644\n--- a/examples/l3fwd/l3fwd_lpm.h\n+++ b/examples/l3fwd/l3fwd_lpm.h\n@@ -9,16 +9,16 @@ static __rte_always_inline void\n l3fwd_lpm_simple_forward(struct rte_mbuf *m, uint16_t portid,\n \t\tstruct lcore_conf *qconf)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct ipv4_hdr *ipv4_hdr;\n \tuint16_t dst_port;\n \n-\teth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \tif (RTE_ETH_IS_IPV4_HDR(m->packet_type)) {\n \t\t/* Handle IPv4 headers.*/\n \t\tipv4_hdr = rte_pktmbuf_mtod_offset(m, struct ipv4_hdr *,\n-\t\t\t\t\t\t   sizeof(struct ether_hdr));\n+\t\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \n #ifdef DO_RFC_1812_CHECKS\n \t\t/* Check to make sure the packet is valid (RFC1812) */\n@@ -51,7 +51,7 @@ l3fwd_lpm_simple_forward(struct rte_mbuf *m, uint16_t portid,\n \t\tstruct ipv6_hdr *ipv6_hdr;\n \n \t\tipv6_hdr = rte_pktmbuf_mtod_offset(m, struct ipv6_hdr *,\n-\t\t\t\t\t\t   sizeof(struct ether_hdr));\n+\t\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \n \t\tdst_port = lpm_get_ipv6_dst_port(ipv6_hdr, portid,\n \t\t\t\t\tqconf->ipv6_lookup_struct);\ndiff --git a/examples/l3fwd/l3fwd_lpm_altivec.h b/examples/l3fwd/l3fwd_lpm_altivec.h\nindex 4c9e2438b..b36e991ac 100644\n--- a/examples/l3fwd/l3fwd_lpm_altivec.h\n+++ b/examples/l3fwd/l3fwd_lpm_altivec.h\n@@ -18,28 +18,28 @@ processx4_step1(struct rte_mbuf *pkt[FWDSTEP],\n \t\tuint32_t *ipv4_flag)\n {\n \tstruct ipv4_hdr *ipv4_hdr;\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tuint32_t x0, x1, x2, x3;\n \n-\teth_hdr = rte_pktmbuf_mtod(pkt[0], struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt[0], struct rte_ether_hdr *);\n \tipv4_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \tx0 = ipv4_hdr->dst_addr;\n \tipv4_flag[0] = pkt[0]->packet_type & RTE_PTYPE_L3_IPV4;\n \n \trte_compiler_barrier();\n-\teth_hdr = rte_pktmbuf_mtod(pkt[1], struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt[1], struct rte_ether_hdr *);\n \tipv4_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \tx1 = ipv4_hdr->dst_addr;\n \tipv4_flag[0] &= pkt[1]->packet_type;\n \n \trte_compiler_barrier();\n-\teth_hdr = rte_pktmbuf_mtod(pkt[2], struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt[2], struct rte_ether_hdr *);\n \tipv4_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \tx2 = ipv4_hdr->dst_addr;\n \tipv4_flag[0] &= pkt[2]->packet_type;\n \n \trte_compiler_barrier();\n-\teth_hdr = rte_pktmbuf_mtod(pkt[3], struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt[3], struct rte_ether_hdr *);\n \tipv4_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \tx3 = ipv4_hdr->dst_addr;\n \tipv4_flag[0] &= pkt[3]->packet_type;\ndiff --git a/examples/l3fwd/l3fwd_lpm_neon.h b/examples/l3fwd/l3fwd_lpm_neon.h\nindex 02ec0d802..a3e42cfa7 100644\n--- a/examples/l3fwd/l3fwd_lpm_neon.h\n+++ b/examples/l3fwd/l3fwd_lpm_neon.h\n@@ -19,25 +19,25 @@ processx4_step1(struct rte_mbuf *pkt[FWDSTEP],\n \t\tuint32_t *ipv4_flag)\n {\n \tstruct ipv4_hdr *ipv4_hdr;\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tint32_t dst[FWDSTEP];\n \n-\teth_hdr = rte_pktmbuf_mtod(pkt[0], struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt[0], struct rte_ether_hdr *);\n \tipv4_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \tdst[0] = ipv4_hdr->dst_addr;\n \tipv4_flag[0] = pkt[0]->packet_type & RTE_PTYPE_L3_IPV4;\n \n-\teth_hdr = rte_pktmbuf_mtod(pkt[1], struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt[1], struct rte_ether_hdr *);\n \tipv4_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \tdst[1] = ipv4_hdr->dst_addr;\n \tipv4_flag[0] &= pkt[1]->packet_type;\n \n-\teth_hdr = rte_pktmbuf_mtod(pkt[2], struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt[2], struct rte_ether_hdr *);\n \tipv4_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \tdst[2] = ipv4_hdr->dst_addr;\n \tipv4_flag[0] &= pkt[2]->packet_type;\n \n-\teth_hdr = rte_pktmbuf_mtod(pkt[3], struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt[3], struct rte_ether_hdr *);\n \tipv4_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \tdst[3] = ipv4_hdr->dst_addr;\n \tipv4_flag[0] &= pkt[3]->packet_type;\n@@ -98,14 +98,14 @@ l3fwd_lpm_send_packets(int nb_rx, struct rte_mbuf **pkts_burst,\n \tif (k) {\n \t\tfor (i = 0; i < FWDSTEP; i++) {\n \t\t\trte_prefetch0(rte_pktmbuf_mtod(pkts_burst[i],\n-\t\t\t\t\t\tstruct ether_hdr *) + 1);\n+\t\t\t\t\t\tstruct rte_ether_hdr *) + 1);\n \t\t}\n \n \t\tfor (j = 0; j != k - FWDSTEP; j += FWDSTEP) {\n \t\t\tfor (i = 0; i < FWDSTEP; i++) {\n \t\t\t\trte_prefetch0(rte_pktmbuf_mtod(\n \t\t\t\t\t\tpkts_burst[j + i + FWDSTEP],\n-\t\t\t\t\t\tstruct ether_hdr *) + 1);\n+\t\t\t\t\t\tstruct rte_ether_hdr *) + 1);\n \t\t\t}\n \n \t\t\tprocessx4_step1(&pkts_burst[j], &dip, &ipv4_flag);\n@@ -125,17 +125,17 @@ l3fwd_lpm_send_packets(int nb_rx, struct rte_mbuf **pkts_burst,\n \t\tswitch (m) {\n \t\tcase 3:\n \t\t\trte_prefetch0(rte_pktmbuf_mtod(pkts_burst[j],\n-\t\t\t\t\t\tstruct ether_hdr *) + 1);\n+\t\t\t\t\t\tstruct rte_ether_hdr *) + 1);\n \t\t\tj++;\n \t\t\t/* fallthrough */\n \t\tcase 2:\n \t\t\trte_prefetch0(rte_pktmbuf_mtod(pkts_burst[j],\n-\t\t\t\t\t\tstruct ether_hdr *) + 1);\n+\t\t\t\t\t\tstruct rte_ether_hdr *) + 1);\n \t\t\tj++;\n \t\t\t/* fallthrough */\n \t\tcase 1:\n \t\t\trte_prefetch0(rte_pktmbuf_mtod(pkts_burst[j],\n-\t\t\t\t\t\tstruct ether_hdr *) + 1);\n+\t\t\t\t\t\tstruct rte_ether_hdr *) + 1);\n \t\t\tj++;\n \t\t}\n \ndiff --git a/examples/l3fwd/l3fwd_lpm_sse.h b/examples/l3fwd/l3fwd_lpm_sse.h\nindex 1d1615906..4603e0749 100644\n--- a/examples/l3fwd/l3fwd_lpm_sse.h\n+++ b/examples/l3fwd/l3fwd_lpm_sse.h\n@@ -16,25 +16,25 @@ processx4_step1(struct rte_mbuf *pkt[FWDSTEP],\n \t\tuint32_t *ipv4_flag)\n {\n \tstruct ipv4_hdr *ipv4_hdr;\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tuint32_t x0, x1, x2, x3;\n \n-\teth_hdr = rte_pktmbuf_mtod(pkt[0], struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt[0], struct rte_ether_hdr *);\n \tipv4_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \tx0 = ipv4_hdr->dst_addr;\n \tipv4_flag[0] = pkt[0]->packet_type & RTE_PTYPE_L3_IPV4;\n \n-\teth_hdr = rte_pktmbuf_mtod(pkt[1], struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt[1], struct rte_ether_hdr *);\n \tipv4_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \tx1 = ipv4_hdr->dst_addr;\n \tipv4_flag[0] &= pkt[1]->packet_type;\n \n-\teth_hdr = rte_pktmbuf_mtod(pkt[2], struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt[2], struct rte_ether_hdr *);\n \tipv4_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \tx2 = ipv4_hdr->dst_addr;\n \tipv4_flag[0] &= pkt[2]->packet_type;\n \n-\teth_hdr = rte_pktmbuf_mtod(pkt[3], struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt[3], struct rte_ether_hdr *);\n \tipv4_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \tx3 = ipv4_hdr->dst_addr;\n \tipv4_flag[0] &= pkt[3]->packet_type;\ndiff --git a/examples/l3fwd/l3fwd_neon.h b/examples/l3fwd/l3fwd_neon.h\nindex 76ccdfa73..af2dc47ac 100644\n--- a/examples/l3fwd/l3fwd_neon.h\n+++ b/examples/l3fwd/l3fwd_neon.h\n@@ -48,13 +48,13 @@ processx4_step3(struct rte_mbuf *pkt[FWDSTEP], uint16_t dst_port[FWDSTEP])\n \tvst1q_u32(p[2], ve[2]);\n \tvst1q_u32(p[3], ve[3]);\n \n-\trfc1812_process((struct ipv4_hdr *)((struct ether_hdr *)p[0] + 1),\n+\trfc1812_process((struct ipv4_hdr *)((struct rte_ether_hdr *)p[0] + 1),\n \t\t&dst_port[0], pkt[0]->packet_type);\n-\trfc1812_process((struct ipv4_hdr *)((struct ether_hdr *)p[1] + 1),\n+\trfc1812_process((struct ipv4_hdr *)((struct rte_ether_hdr *)p[1] + 1),\n \t\t&dst_port[1], pkt[1]->packet_type);\n-\trfc1812_process((struct ipv4_hdr *)((struct ether_hdr *)p[2] + 1),\n+\trfc1812_process((struct ipv4_hdr *)((struct rte_ether_hdr *)p[2] + 1),\n \t\t&dst_port[2], pkt[2]->packet_type);\n-\trfc1812_process((struct ipv4_hdr *)((struct ether_hdr *)p[3] + 1),\n+\trfc1812_process((struct ipv4_hdr *)((struct rte_ether_hdr *)p[3] + 1),\n \t\t&dst_port[3], pkt[3]->packet_type);\n }\n \n@@ -104,10 +104,10 @@ port_groupx4(uint16_t pn[FWDSTEP + 1], uint16_t *lp, uint16x8_t dp1,\n static inline void\n process_packet(struct rte_mbuf *pkt, uint16_t *dst_port)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tuint32x4_t te, ve;\n \n-\teth_hdr = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \n \tte = vld1q_u32((uint32_t *)eth_hdr);\n \tve = vreinterpretq_u32_s32(val_eth[dst_port[0]]);\ndiff --git a/examples/l3fwd/l3fwd_sse.h b/examples/l3fwd/l3fwd_sse.h\nindex ed5267c11..3349f2747 100644\n--- a/examples/l3fwd/l3fwd_sse.h\n+++ b/examples/l3fwd/l3fwd_sse.h\n@@ -48,13 +48,13 @@ processx4_step3(struct rte_mbuf *pkt[FWDSTEP], uint16_t dst_port[FWDSTEP])\n \t_mm_storeu_si128(p[2], te[2]);\n \t_mm_storeu_si128(p[3], te[3]);\n \n-\trfc1812_process((struct ipv4_hdr *)((struct ether_hdr *)p[0] + 1),\n+\trfc1812_process((struct ipv4_hdr *)((struct rte_ether_hdr *)p[0] + 1),\n \t\t&dst_port[0], pkt[0]->packet_type);\n-\trfc1812_process((struct ipv4_hdr *)((struct ether_hdr *)p[1] + 1),\n+\trfc1812_process((struct ipv4_hdr *)((struct rte_ether_hdr *)p[1] + 1),\n \t\t&dst_port[1], pkt[1]->packet_type);\n-\trfc1812_process((struct ipv4_hdr *)((struct ether_hdr *)p[2] + 1),\n+\trfc1812_process((struct ipv4_hdr *)((struct rte_ether_hdr *)p[2] + 1),\n \t\t&dst_port[2], pkt[2]->packet_type);\n-\trfc1812_process((struct ipv4_hdr *)((struct ether_hdr *)p[3] + 1),\n+\trfc1812_process((struct ipv4_hdr *)((struct rte_ether_hdr *)p[3] + 1),\n \t\t&dst_port[3], pkt[3]->packet_type);\n }\n \n@@ -101,10 +101,10 @@ port_groupx4(uint16_t pn[FWDSTEP + 1], uint16_t *lp, __m128i dp1, __m128i dp2)\n static inline void\n process_packet(struct rte_mbuf *pkt, uint16_t *dst_port)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \t__m128i te, ve;\n \n-\teth_hdr = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \n \tte = _mm_loadu_si128((__m128i *)eth_hdr);\n \tve = val_eth[dst_port[0]];\ndiff --git a/examples/l3fwd/main.c b/examples/l3fwd/main.c\nindex 7b9683187..b0a700ab1 100644\n--- a/examples/l3fwd/main.c\n+++ b/examples/l3fwd/main.c\n@@ -81,7 +81,7 @@ volatile bool force_quit;\n \n /* ethernet addresses of ports */\n uint64_t dest_eth_addr[RTE_MAX_ETHPORTS];\n-struct ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n+struct rte_ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n \n xmm_t val_eth[RTE_MAX_ETHPORTS];\n \n@@ -647,7 +647,7 @@ parse_args(int argc, char **argv)\n }\n \n static void\n-print_ethaddr(const char *name, const struct ether_addr *eth_addr)\n+print_ethaddr(const char *name, const struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n \tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n@@ -908,14 +908,14 @@ main(int argc, char **argv)\n \t\tprint_ethaddr(\" Address:\", &ports_eth_addr[portid]);\n \t\tprintf(\", \");\n \t\tprint_ethaddr(\"Destination:\",\n-\t\t\t(const struct ether_addr *)&dest_eth_addr[portid]);\n+\t\t\t(const struct rte_ether_addr *)&dest_eth_addr[portid]);\n \t\tprintf(\", \");\n \n \t\t/*\n \t\t * prepare src MACs for each port.\n \t\t */\n \t\tether_addr_copy(&ports_eth_addr[portid],\n-\t\t\t(struct ether_addr *)(val_eth + portid) + 1);\n+\t\t\t(struct rte_ether_addr *)(val_eth + portid) + 1);\n \n \t\t/* init memory */\n \t\tif (!per_port_pool) {\ndiff --git a/examples/link_status_interrupt/main.c b/examples/link_status_interrupt/main.c\nindex f3346d23b..9997c58f4 100644\n--- a/examples/link_status_interrupt/main.c\n+++ b/examples/link_status_interrupt/main.c\n@@ -53,7 +53,7 @@ static uint16_t nb_rxd = RTE_TEST_RX_DESC_DEFAULT;\n static uint16_t nb_txd = RTE_TEST_TX_DESC_DEFAULT;\n \n /* ethernet addresses of ports */\n-static struct ether_addr lsi_ports_eth_addr[RTE_MAX_ETHPORTS];\n+static struct rte_ether_addr lsi_ports_eth_addr[RTE_MAX_ETHPORTS];\n \n /* mask of enabled ports */\n static uint32_t lsi_enabled_port_mask = 0;\n@@ -163,13 +163,13 @@ print_stats(void)\n static void\n lsi_simple_forward(struct rte_mbuf *m, unsigned portid)\n {\n-\tstruct ether_hdr *eth;\n+\tstruct rte_ether_hdr *eth;\n \tvoid *tmp;\n \tunsigned dst_port = lsi_dst_ports[portid];\n \tint sent;\n \tstruct rte_eth_dev_tx_buffer *buffer;\n \n-\teth = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \t/* 02:00:00:00:00:xx */\n \ttmp = &eth->d_addr.addr_bytes[0];\ndiff --git a/examples/load_balancer/runtime.c b/examples/load_balancer/runtime.c\nindex 39a846a56..f39681d72 100644\n--- a/examples/load_balancer/runtime.c\n+++ b/examples/load_balancer/runtime.c\n@@ -507,9 +507,9 @@ app_lcore_worker(\n \t\t\t}\n \n \t\t\tpkt = lp->mbuf_in.array[j];\n-\t\t\tipv4_hdr = rte_pktmbuf_mtod_offset(pkt,\n-\t\t\t\t\t\t\t   struct ipv4_hdr *,\n-\t\t\t\t\t\t\t   sizeof(struct ether_hdr));\n+\t\t\tipv4_hdr = rte_pktmbuf_mtod_offset(\n+\t\t\t\tpkt, struct ipv4_hdr *,\n+\t\t\t\tsizeof(struct rte_ether_hdr));\n \t\t\tipv4_dst = rte_be_to_cpu_32(ipv4_hdr->dst_addr);\n \n \t\t\tif (unlikely(rte_lpm_lookup(lp->lpm_table, ipv4_dst, &port) != 0)) {\ndiff --git a/examples/multi_process/client_server_mp/mp_server/main.c b/examples/multi_process/client_server_mp/mp_server/main.c\nindex 0ddc63e92..9a8e422d4 100644\n--- a/examples/multi_process/client_server_mp/mp_server/main.c\n+++ b/examples/multi_process/client_server_mp/mp_server/main.c\n@@ -66,7 +66,7 @@ get_printable_mac_addr(uint16_t port)\n \tif (unlikely(port >= RTE_MAX_ETHPORTS))\n \t\treturn err_address;\n \tif (unlikely(addresses[port][0]=='\\0')){\n-\t\tstruct ether_addr mac;\n+\t\tstruct rte_ether_addr mac;\n \t\trte_eth_macaddr_get(port, &mac);\n \t\tsnprintf(addresses[port], sizeof(addresses[port]),\n \t\t\t\t\"%02x:%02x:%02x:%02x:%02x:%02x\\n\",\ndiff --git a/examples/packet_ordering/main.c b/examples/packet_ordering/main.c\nindex 149bfdd02..ca312029e 100644\n--- a/examples/packet_ordering/main.c\n+++ b/examples/packet_ordering/main.c\n@@ -255,7 +255,7 @@ configure_tx_buffers(struct rte_eth_dev_tx_buffer *tx_buffer[])\n static inline int\n configure_eth_port(uint16_t port_id)\n {\n-\tstruct ether_addr addr;\n+\tstruct rte_ether_addr addr;\n \tconst uint16_t rxRings = 1, txRings = 1;\n \tint ret;\n \tuint16_t q;\ndiff --git a/examples/performance-thread/l3fwd-thread/main.c b/examples/performance-thread/l3fwd-thread/main.c\nindex 4f8747bc3..b29ed9e97 100644\n--- a/examples/performance-thread/l3fwd-thread/main.c\n+++ b/examples/performance-thread/l3fwd-thread/main.c\n@@ -92,11 +92,11 @@ check_ptype(int portid)\n static inline void\n parse_ptype(struct rte_mbuf *m)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tuint32_t packet_type = RTE_PTYPE_UNKNOWN;\n \tuint16_t ether_type;\n \n-\teth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \tether_type = eth_hdr->ether_type;\n \tif (ether_type == rte_cpu_to_be_16(ETHER_TYPE_IPv4))\n \t\tpacket_type |= RTE_PTYPE_L3_IPV4_EXT_UNKNOWN;\n@@ -186,7 +186,7 @@ static uint16_t nb_txd = RTE_TEST_TX_DESC_DEFAULT;\n \n /* ethernet addresses of ports */\n static uint64_t dest_eth_addr[RTE_MAX_ETHPORTS];\n-static struct ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n+static struct rte_ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n \n static xmm_t val_eth[RTE_MAX_ETHPORTS];\n \n@@ -884,39 +884,39 @@ static inline void l3fwd_simple_forward(struct rte_mbuf *m, uint16_t portid)\n static inline void\n simple_ipv4_fwd_8pkts(struct rte_mbuf *m[8], uint16_t portid)\n {\n-\tstruct ether_hdr *eth_hdr[8];\n+\tstruct rte_ether_hdr *eth_hdr[8];\n \tstruct ipv4_hdr *ipv4_hdr[8];\n \tuint16_t dst_port[8];\n \tint32_t ret[8];\n \tunion ipv4_5tuple_host key[8];\n \t__m128i data[8];\n \n-\teth_hdr[0] = rte_pktmbuf_mtod(m[0], struct ether_hdr *);\n-\teth_hdr[1] = rte_pktmbuf_mtod(m[1], struct ether_hdr *);\n-\teth_hdr[2] = rte_pktmbuf_mtod(m[2], struct ether_hdr *);\n-\teth_hdr[3] = rte_pktmbuf_mtod(m[3], struct ether_hdr *);\n-\teth_hdr[4] = rte_pktmbuf_mtod(m[4], struct ether_hdr *);\n-\teth_hdr[5] = rte_pktmbuf_mtod(m[5], struct ether_hdr *);\n-\teth_hdr[6] = rte_pktmbuf_mtod(m[6], struct ether_hdr *);\n-\teth_hdr[7] = rte_pktmbuf_mtod(m[7], struct ether_hdr *);\n+\teth_hdr[0] = rte_pktmbuf_mtod(m[0], struct rte_ether_hdr *);\n+\teth_hdr[1] = rte_pktmbuf_mtod(m[1], struct rte_ether_hdr *);\n+\teth_hdr[2] = rte_pktmbuf_mtod(m[2], struct rte_ether_hdr *);\n+\teth_hdr[3] = rte_pktmbuf_mtod(m[3], struct rte_ether_hdr *);\n+\teth_hdr[4] = rte_pktmbuf_mtod(m[4], struct rte_ether_hdr *);\n+\teth_hdr[5] = rte_pktmbuf_mtod(m[5], struct rte_ether_hdr *);\n+\teth_hdr[6] = rte_pktmbuf_mtod(m[6], struct rte_ether_hdr *);\n+\teth_hdr[7] = rte_pktmbuf_mtod(m[7], struct rte_ether_hdr *);\n \n \t/* Handle IPv4 headers.*/\n \tipv4_hdr[0] = rte_pktmbuf_mtod_offset(m[0], struct ipv4_hdr *,\n-\t\t\tsizeof(struct ether_hdr));\n+\t\t\tsizeof(struct rte_ether_hdr));\n \tipv4_hdr[1] = rte_pktmbuf_mtod_offset(m[1], struct ipv4_hdr *,\n-\t\t\tsizeof(struct ether_hdr));\n+\t\t\tsizeof(struct rte_ether_hdr));\n \tipv4_hdr[2] = rte_pktmbuf_mtod_offset(m[2], struct ipv4_hdr *,\n-\t\t\tsizeof(struct ether_hdr));\n+\t\t\tsizeof(struct rte_ether_hdr));\n \tipv4_hdr[3] = rte_pktmbuf_mtod_offset(m[3], struct ipv4_hdr *,\n-\t\t\tsizeof(struct ether_hdr));\n+\t\t\tsizeof(struct rte_ether_hdr));\n \tipv4_hdr[4] = rte_pktmbuf_mtod_offset(m[4], struct ipv4_hdr *,\n-\t\t\tsizeof(struct ether_hdr));\n+\t\t\tsizeof(struct rte_ether_hdr));\n \tipv4_hdr[5] = rte_pktmbuf_mtod_offset(m[5], struct ipv4_hdr *,\n-\t\t\tsizeof(struct ether_hdr));\n+\t\t\tsizeof(struct rte_ether_hdr));\n \tipv4_hdr[6] = rte_pktmbuf_mtod_offset(m[6], struct ipv4_hdr *,\n-\t\t\tsizeof(struct ether_hdr));\n+\t\t\tsizeof(struct rte_ether_hdr));\n \tipv4_hdr[7] = rte_pktmbuf_mtod_offset(m[7], struct ipv4_hdr *,\n-\t\t\tsizeof(struct ether_hdr));\n+\t\t\tsizeof(struct rte_ether_hdr));\n \n #ifdef DO_RFC_1812_CHECKS\n \t/* Check to make sure the packet is valid (RFC1812) */\n@@ -967,28 +967,28 @@ simple_ipv4_fwd_8pkts(struct rte_mbuf *m[8], uint16_t portid)\n #endif /* End of #ifdef DO_RFC_1812_CHECKS */\n \n \tdata[0] = _mm_loadu_si128(rte_pktmbuf_mtod_offset(m[0], __m128i *,\n-\t\t\tsizeof(struct ether_hdr) +\n+\t\t\tsizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv4_hdr, time_to_live)));\n \tdata[1] = _mm_loadu_si128(rte_pktmbuf_mtod_offset(m[1], __m128i *,\n-\t\t\tsizeof(struct ether_hdr) +\n+\t\t\tsizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv4_hdr, time_to_live)));\n \tdata[2] = _mm_loadu_si128(rte_pktmbuf_mtod_offset(m[2], __m128i *,\n-\t\t\tsizeof(struct ether_hdr) +\n+\t\t\tsizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv4_hdr, time_to_live)));\n \tdata[3] = _mm_loadu_si128(rte_pktmbuf_mtod_offset(m[3], __m128i *,\n-\t\t\tsizeof(struct ether_hdr) +\n+\t\t\tsizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv4_hdr, time_to_live)));\n \tdata[4] = _mm_loadu_si128(rte_pktmbuf_mtod_offset(m[4], __m128i *,\n-\t\t\tsizeof(struct ether_hdr) +\n+\t\t\tsizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv4_hdr, time_to_live)));\n \tdata[5] = _mm_loadu_si128(rte_pktmbuf_mtod_offset(m[5], __m128i *,\n-\t\t\tsizeof(struct ether_hdr) +\n+\t\t\tsizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv4_hdr, time_to_live)));\n \tdata[6] = _mm_loadu_si128(rte_pktmbuf_mtod_offset(m[6], __m128i *,\n-\t\t\tsizeof(struct ether_hdr) +\n+\t\t\tsizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv4_hdr, time_to_live)));\n \tdata[7] = _mm_loadu_si128(rte_pktmbuf_mtod_offset(m[7], __m128i *,\n-\t\t\tsizeof(struct ether_hdr) +\n+\t\t\tsizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv4_hdr, time_to_live)));\n \n \tkey[0].xmm = _mm_and_si128(data[0], mask0);\n@@ -1094,13 +1094,13 @@ static inline void get_ipv6_5tuple(struct rte_mbuf *m0, __m128i mask0,\n \t\t__m128i mask1, union ipv6_5tuple_host *key)\n {\n \t__m128i tmpdata0 = _mm_loadu_si128(rte_pktmbuf_mtod_offset(m0,\n-\t\t\t__m128i *, sizeof(struct ether_hdr) +\n+\t\t\t__m128i *, sizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv6_hdr, payload_len)));\n \t__m128i tmpdata1 = _mm_loadu_si128(rte_pktmbuf_mtod_offset(m0,\n-\t\t\t__m128i *, sizeof(struct ether_hdr) +\n+\t\t\t__m128i *, sizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv6_hdr, payload_len) + sizeof(__m128i)));\n \t__m128i tmpdata2 = _mm_loadu_si128(rte_pktmbuf_mtod_offset(m0,\n-\t\t\t__m128i *, sizeof(struct ether_hdr) +\n+\t\t\t__m128i *, sizeof(struct rte_ether_hdr) +\n \t\t\toffsetof(struct ipv6_hdr, payload_len) + sizeof(__m128i) +\n \t\t\tsizeof(__m128i)));\n \tkey->xmm[0] = _mm_and_si128(tmpdata0, mask0);\n@@ -1113,37 +1113,37 @@ simple_ipv6_fwd_8pkts(struct rte_mbuf *m[8], uint16_t portid)\n {\n \tint32_t ret[8];\n \tuint16_t dst_port[8];\n-\tstruct ether_hdr *eth_hdr[8];\n+\tstruct rte_ether_hdr *eth_hdr[8];\n \tunion ipv6_5tuple_host key[8];\n \n \t__attribute__((unused)) struct ipv6_hdr *ipv6_hdr[8];\n \n-\teth_hdr[0] = rte_pktmbuf_mtod(m[0], struct ether_hdr *);\n-\teth_hdr[1] = rte_pktmbuf_mtod(m[1], struct ether_hdr *);\n-\teth_hdr[2] = rte_pktmbuf_mtod(m[2], struct ether_hdr *);\n-\teth_hdr[3] = rte_pktmbuf_mtod(m[3], struct ether_hdr *);\n-\teth_hdr[4] = rte_pktmbuf_mtod(m[4], struct ether_hdr *);\n-\teth_hdr[5] = rte_pktmbuf_mtod(m[5], struct ether_hdr *);\n-\teth_hdr[6] = rte_pktmbuf_mtod(m[6], struct ether_hdr *);\n-\teth_hdr[7] = rte_pktmbuf_mtod(m[7], struct ether_hdr *);\n+\teth_hdr[0] = rte_pktmbuf_mtod(m[0], struct rte_ether_hdr *);\n+\teth_hdr[1] = rte_pktmbuf_mtod(m[1], struct rte_ether_hdr *);\n+\teth_hdr[2] = rte_pktmbuf_mtod(m[2], struct rte_ether_hdr *);\n+\teth_hdr[3] = rte_pktmbuf_mtod(m[3], struct rte_ether_hdr *);\n+\teth_hdr[4] = rte_pktmbuf_mtod(m[4], struct rte_ether_hdr *);\n+\teth_hdr[5] = rte_pktmbuf_mtod(m[5], struct rte_ether_hdr *);\n+\teth_hdr[6] = rte_pktmbuf_mtod(m[6], struct rte_ether_hdr *);\n+\teth_hdr[7] = rte_pktmbuf_mtod(m[7], struct rte_ether_hdr *);\n \n \t/* Handle IPv6 headers.*/\n \tipv6_hdr[0] = rte_pktmbuf_mtod_offset(m[0], struct ipv6_hdr *,\n-\t\t\tsizeof(struct ether_hdr));\n+\t\t\tsizeof(struct rte_ether_hdr));\n \tipv6_hdr[1] = rte_pktmbuf_mtod_offset(m[1], struct ipv6_hdr *,\n-\t\t\tsizeof(struct ether_hdr));\n+\t\t\tsizeof(struct rte_ether_hdr));\n \tipv6_hdr[2] = rte_pktmbuf_mtod_offset(m[2], struct ipv6_hdr *,\n-\t\t\tsizeof(struct ether_hdr));\n+\t\t\tsizeof(struct rte_ether_hdr));\n \tipv6_hdr[3] = rte_pktmbuf_mtod_offset(m[3], struct ipv6_hdr *,\n-\t\t\tsizeof(struct ether_hdr));\n+\t\t\tsizeof(struct rte_ether_hdr));\n \tipv6_hdr[4] = rte_pktmbuf_mtod_offset(m[4], struct ipv6_hdr *,\n-\t\t\tsizeof(struct ether_hdr));\n+\t\t\tsizeof(struct rte_ether_hdr));\n \tipv6_hdr[5] = rte_pktmbuf_mtod_offset(m[5], struct ipv6_hdr *,\n-\t\t\tsizeof(struct ether_hdr));\n+\t\t\tsizeof(struct rte_ether_hdr));\n \tipv6_hdr[6] = rte_pktmbuf_mtod_offset(m[6], struct ipv6_hdr *,\n-\t\t\tsizeof(struct ether_hdr));\n+\t\t\tsizeof(struct rte_ether_hdr));\n \tipv6_hdr[7] = rte_pktmbuf_mtod_offset(m[7], struct ipv6_hdr *,\n-\t\t\tsizeof(struct ether_hdr));\n+\t\t\tsizeof(struct rte_ether_hdr));\n \n \tget_ipv6_5tuple(m[0], mask1, mask2, &key[0]);\n \tget_ipv6_5tuple(m[1], mask1, mask2, &key[1]);\n@@ -1228,16 +1228,16 @@ simple_ipv6_fwd_8pkts(struct rte_mbuf *m[8], uint16_t portid)\n static __rte_always_inline void\n l3fwd_simple_forward(struct rte_mbuf *m, uint16_t portid)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct ipv4_hdr *ipv4_hdr;\n \tuint16_t dst_port;\n \n-\teth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \tif (RTE_ETH_IS_IPV4_HDR(m->packet_type)) {\n \t\t/* Handle IPv4 headers.*/\n \t\tipv4_hdr = rte_pktmbuf_mtod_offset(m, struct ipv4_hdr *,\n-\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\tsizeof(struct rte_ether_hdr));\n \n #ifdef DO_RFC_1812_CHECKS\n \t\t/* Check to make sure the packet is valid (RFC1812) */\n@@ -1270,7 +1270,7 @@ l3fwd_simple_forward(struct rte_mbuf *m, uint16_t portid)\n \t\tstruct ipv6_hdr *ipv6_hdr;\n \n \t\tipv6_hdr = rte_pktmbuf_mtod_offset(m, struct ipv6_hdr *,\n-\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\tsizeof(struct rte_ether_hdr));\n \n \t\tdst_port = get_ipv6_dst_port(ipv6_hdr, portid,\n \t\t\t\tRTE_PER_LCORE(lcore_conf)->ipv6_lookup_struct);\n@@ -1346,7 +1346,7 @@ get_dst_port(struct rte_mbuf *pkt, uint32_t dst_ipv4, uint16_t portid)\n {\n \tuint32_t next_hop;\n \tstruct ipv6_hdr *ipv6_hdr;\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \n \tif (RTE_ETH_IS_IPV4_HDR(pkt->packet_type)) {\n \t\treturn (uint16_t) ((rte_lpm_lookup(\n@@ -1355,7 +1355,7 @@ get_dst_port(struct rte_mbuf *pkt, uint32_t dst_ipv4, uint16_t portid)\n \n \t} else if (RTE_ETH_IS_IPV6_HDR(pkt->packet_type)) {\n \n-\t\teth_hdr = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\t\teth_hdr = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \t\tipv6_hdr = (struct ipv6_hdr *)(eth_hdr + 1);\n \n \t\treturn (uint16_t) ((rte_lpm6_lookup(\n@@ -1371,13 +1371,13 @@ get_dst_port(struct rte_mbuf *pkt, uint32_t dst_ipv4, uint16_t portid)\n static inline void\n process_packet(struct rte_mbuf *pkt, uint16_t *dst_port, uint16_t portid)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct ipv4_hdr *ipv4_hdr;\n \tuint32_t dst_ipv4;\n \tuint16_t dp;\n \t__m128i te, ve;\n \n-\teth_hdr = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \tipv4_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \n \tdst_ipv4 = ipv4_hdr->dst_addr;\n@@ -1403,25 +1403,25 @@ processx4_step1(struct rte_mbuf *pkt[FWDSTEP],\n \t\tuint32_t *ipv4_flag)\n {\n \tstruct ipv4_hdr *ipv4_hdr;\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tuint32_t x0, x1, x2, x3;\n \n-\teth_hdr = rte_pktmbuf_mtod(pkt[0], struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt[0], struct rte_ether_hdr *);\n \tipv4_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \tx0 = ipv4_hdr->dst_addr;\n \tipv4_flag[0] = pkt[0]->packet_type & RTE_PTYPE_L3_IPV4;\n \n-\teth_hdr = rte_pktmbuf_mtod(pkt[1], struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt[1], struct rte_ether_hdr *);\n \tipv4_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \tx1 = ipv4_hdr->dst_addr;\n \tipv4_flag[0] &= pkt[1]->packet_type;\n \n-\teth_hdr = rte_pktmbuf_mtod(pkt[2], struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt[2], struct rte_ether_hdr *);\n \tipv4_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \tx2 = ipv4_hdr->dst_addr;\n \tipv4_flag[0] &= pkt[2]->packet_type;\n \n-\teth_hdr = rte_pktmbuf_mtod(pkt[3], struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt[3], struct rte_ether_hdr *);\n \tipv4_hdr = (struct ipv4_hdr *)(eth_hdr + 1);\n \tx3 = ipv4_hdr->dst_addr;\n \tipv4_flag[0] &= pkt[3]->packet_type;\n@@ -1503,13 +1503,13 @@ processx4_step3(struct rte_mbuf *pkt[FWDSTEP], uint16_t dst_port[FWDSTEP])\n \t_mm_store_si128(p[2], te[2]);\n \t_mm_store_si128(p[3], te[3]);\n \n-\trfc1812_process((struct ipv4_hdr *)((struct ether_hdr *)p[0] + 1),\n+\trfc1812_process((struct ipv4_hdr *)((struct rte_ether_hdr *)p[0] + 1),\n \t\t\t&dst_port[0], pkt[0]->packet_type);\n-\trfc1812_process((struct ipv4_hdr *)((struct ether_hdr *)p[1] + 1),\n+\trfc1812_process((struct ipv4_hdr *)((struct rte_ether_hdr *)p[1] + 1),\n \t\t\t&dst_port[1], pkt[1]->packet_type);\n-\trfc1812_process((struct ipv4_hdr *)((struct ether_hdr *)p[2] + 1),\n+\trfc1812_process((struct ipv4_hdr *)((struct rte_ether_hdr *)p[2] + 1),\n \t\t\t&dst_port[2], pkt[2]->packet_type);\n-\trfc1812_process((struct ipv4_hdr *)((struct ether_hdr *)p[3] + 1),\n+\trfc1812_process((struct ipv4_hdr *)((struct rte_ether_hdr *)p[3] + 1),\n \t\t\t&dst_port[3], pkt[3]->packet_type);\n }\n \n@@ -3020,7 +3020,7 @@ parse_args(int argc, char **argv)\n }\n \n static void\n-print_ethaddr(const char *name, const struct ether_addr *eth_addr)\n+print_ethaddr(const char *name, const struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n \n@@ -3576,14 +3576,14 @@ main(int argc, char **argv)\n \t\tprint_ethaddr(\" Address:\", &ports_eth_addr[portid]);\n \t\tprintf(\", \");\n \t\tprint_ethaddr(\"Destination:\",\n-\t\t\t(const struct ether_addr *)&dest_eth_addr[portid]);\n+\t\t\t(const struct rte_ether_addr *)&dest_eth_addr[portid]);\n \t\tprintf(\", \");\n \n \t\t/*\n \t\t * prepare src MACs for each port.\n \t\t */\n \t\tether_addr_copy(&ports_eth_addr[portid],\n-\t\t\t(struct ether_addr *)(val_eth + portid) + 1);\n+\t\t\t(struct rte_ether_addr *)(val_eth + portid) + 1);\n \n \t\t/* init memory */\n \t\tret = init_mem(NB_MBUF);\ndiff --git a/examples/ptpclient/ptpclient.c b/examples/ptpclient/ptpclient.c\nindex 82ae71c19..7d28f59ce 100644\n--- a/examples/ptpclient/ptpclient.c\n+++ b/examples/ptpclient/ptpclient.c\n@@ -53,7 +53,7 @@ static const struct rte_eth_conf port_conf_default = {\n \t},\n };\n \n-static const struct ether_addr ether_multicast = {\n+static const struct rte_ether_addr ether_multicast = {\n \t.addr_bytes = {0x01, 0x1b, 0x19, 0x0, 0x0, 0x0}\n };\n \n@@ -334,7 +334,7 @@ parse_sync(struct ptpv2_data_slave_ordinary *ptp_data, uint16_t rx_tstamp_idx)\n \tstruct ptp_header *ptp_hdr;\n \n \tptp_hdr = (struct ptp_header *)(rte_pktmbuf_mtod(ptp_data->m, char *)\n-\t\t\t+ sizeof(struct ether_hdr));\n+\t\t\t+ sizeof(struct rte_ether_hdr));\n \tptp_data->seqID_SYNC = rte_be_to_cpu_16(ptp_hdr->seq_id);\n \n \tif (ptp_data->ptpset == 0) {\n@@ -361,20 +361,20 @@ parse_sync(struct ptpv2_data_slave_ordinary *ptp_data, uint16_t rx_tstamp_idx)\n static void\n parse_fup(struct ptpv2_data_slave_ordinary *ptp_data)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct ptp_header *ptp_hdr;\n \tstruct clock_id *client_clkid;\n \tstruct ptp_message *ptp_msg;\n \tstruct rte_mbuf *created_pkt;\n \tstruct tstamp *origin_tstamp;\n-\tstruct ether_addr eth_multicast = ether_multicast;\n+\tstruct rte_ether_addr eth_multicast = ether_multicast;\n \tsize_t pkt_size;\n \tint wait_us;\n \tstruct rte_mbuf *m = ptp_data->m;\n \n-\teth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \tptp_hdr = (struct ptp_header *)(rte_pktmbuf_mtod(m, char *)\n-\t\t\t+ sizeof(struct ether_hdr));\n+\t\t\t+ sizeof(struct rte_ether_hdr));\n \tif (memcmp(&ptp_data->master_clock_id,\n \t\t\t&ptp_hdr->source_port_id.clock_id,\n \t\t\tsizeof(struct clock_id)) != 0)\n@@ -382,7 +382,7 @@ parse_fup(struct ptpv2_data_slave_ordinary *ptp_data)\n \n \tptp_data->seqID_FOLLOWUP = rte_be_to_cpu_16(ptp_hdr->seq_id);\n \tptp_msg = (struct ptp_message *) (rte_pktmbuf_mtod(m, char *) +\n-\t\t\t\t\t  sizeof(struct ether_hdr));\n+\t\t\t\t\t  sizeof(struct rte_ether_hdr));\n \n \torigin_tstamp = &ptp_msg->follow_up.precise_origin_tstamp;\n \tptp_data->tstamp1.tv_nsec = ntohl(origin_tstamp->ns);\n@@ -393,11 +393,11 @@ parse_fup(struct ptpv2_data_slave_ordinary *ptp_data)\n \tif (ptp_data->seqID_FOLLOWUP == ptp_data->seqID_SYNC) {\n \n \t\tcreated_pkt = rte_pktmbuf_alloc(mbuf_pool);\n-\t\tpkt_size = sizeof(struct ether_hdr) +\n+\t\tpkt_size = sizeof(struct rte_ether_hdr) +\n \t\t\tsizeof(struct ptp_message);\n \t\tcreated_pkt->data_len = pkt_size;\n \t\tcreated_pkt->pkt_len = pkt_size;\n-\t\teth_hdr = rte_pktmbuf_mtod(created_pkt, struct ether_hdr *);\n+\t\teth_hdr = rte_pktmbuf_mtod(created_pkt, struct rte_ether_hdr *);\n \t\trte_eth_macaddr_get(ptp_data->portid, &eth_hdr->s_addr);\n \n \t\t/* Set multicast address 01-1B-19-00-00-00. */\n@@ -406,7 +406,7 @@ parse_fup(struct ptpv2_data_slave_ordinary *ptp_data)\n \t\teth_hdr->ether_type = htons(PTP_PROTOCOL);\n \t\tptp_msg = (struct ptp_message *)\n \t\t\t(rte_pktmbuf_mtod(created_pkt, char *) +\n-\t\t\tsizeof(struct ether_hdr));\n+\t\t\tsizeof(struct rte_ether_hdr));\n \n \t\tptp_msg->delay_req.hdr.seq_id = htons(ptp_data->seqID_SYNC);\n \t\tptp_msg->delay_req.hdr.msg_type = DELAY_REQ;\n@@ -499,7 +499,7 @@ parse_drsp(struct ptpv2_data_slave_ordinary *ptp_data)\n \tuint16_t seq_id;\n \n \tptp_msg = (struct ptp_message *) (rte_pktmbuf_mtod(m, char *) +\n-\t\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\t\tsizeof(struct rte_ether_hdr));\n \tseq_id = rte_be_to_cpu_16(ptp_msg->delay_resp.hdr.seq_id);\n \tif (memcmp(&ptp_data->client_clock_id,\n \t\t   &ptp_msg->delay_resp.req_port_id.clock_id,\n@@ -535,17 +535,17 @@ parse_drsp(struct ptpv2_data_slave_ordinary *ptp_data)\n static void\n parse_ptp_frames(uint16_t portid, struct rte_mbuf *m) {\n \tstruct ptp_header *ptp_hdr;\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tuint16_t eth_type;\n \n-\teth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \teth_type = rte_be_to_cpu_16(eth_hdr->ether_type);\n \n \tif (eth_type == PTP_PROTOCOL) {\n \t\tptp_data.m = m;\n \t\tptp_data.portid = portid;\n \t\tptp_hdr = (struct ptp_header *)(rte_pktmbuf_mtod(m, char *)\n-\t\t\t\t\t+ sizeof(struct ether_hdr));\n+\t\t\t\t\t+ sizeof(struct rte_ether_hdr));\n \n \t\tswitch (ptp_hdr->msg_type) {\n \t\tcase SYNC:\ndiff --git a/examples/qos_meter/main.c b/examples/qos_meter/main.c\nindex 9b0112449..431e84f4e 100644\n--- a/examples/qos_meter/main.c\n+++ b/examples/qos_meter/main.c\n@@ -145,7 +145,8 @@ app_pkt_handle(struct rte_mbuf *pkt, uint64_t time)\n {\n \tuint8_t input_color, output_color;\n \tuint8_t *pkt_data = rte_pktmbuf_mtod(pkt, uint8_t *);\n-\tuint32_t pkt_len = rte_pktmbuf_pkt_len(pkt) - sizeof(struct ether_hdr);\n+\tuint32_t pkt_len = rte_pktmbuf_pkt_len(pkt) -\n+\t\tsizeof(struct rte_ether_hdr);\n \tuint8_t flow_id = (uint8_t)(pkt_data[APP_PKT_FLOW_POS] & (APP_FLOWS_MAX - 1));\n \tinput_color = pkt_data[APP_PKT_COLOR_POS];\n \tenum policer_action action;\ndiff --git a/examples/quota_watermark/qw/main.c b/examples/quota_watermark/qw/main.c\nindex c55d38744..61e92a820 100644\n--- a/examples/quota_watermark/qw/main.c\n+++ b/examples/quota_watermark/qw/main.c\n@@ -50,8 +50,8 @@ static void send_pause_frame(uint16_t port_id, uint16_t duration)\n {\n \tstruct rte_mbuf *mbuf;\n \tstruct ether_fc_frame *pause_frame;\n-\tstruct ether_hdr *hdr;\n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_hdr *hdr;\n+\tstruct rte_ether_addr mac_addr;\n \n \tRTE_LOG_DP(DEBUG, USER1,\n \t\t\t\"Sending PAUSE frame (duration=%d) on port %d\\n\",\n@@ -63,7 +63,7 @@ static void send_pause_frame(uint16_t port_id, uint16_t duration)\n \t\treturn;\n \n \t/* Prepare a PAUSE frame */\n-\thdr = rte_pktmbuf_mtod(mbuf, struct ether_hdr *);\n+\thdr = rte_pktmbuf_mtod(mbuf, struct rte_ether_hdr *);\n \tpause_frame = (struct ether_fc_frame *) &hdr[1];\n \n \trte_eth_macaddr_get(port_id, &mac_addr);\ndiff --git a/examples/rxtx_callbacks/main.c b/examples/rxtx_callbacks/main.c\nindex 2058be627..a277519f5 100644\n--- a/examples/rxtx_callbacks/main.c\n+++ b/examples/rxtx_callbacks/main.c\n@@ -115,7 +115,7 @@ port_init(uint16_t port, struct rte_mempool *mbuf_pool)\n \tif (retval < 0)\n \t\treturn retval;\n \n-\tstruct ether_addr addr;\n+\tstruct rte_ether_addr addr;\n \n \trte_eth_macaddr_get(port, &addr);\n \tprintf(\"Port %u MAC: %02\"PRIx8\" %02\"PRIx8\" %02\"PRIx8\ndiff --git a/examples/server_node_efd/node/node.c b/examples/server_node_efd/node/node.c\nindex 3b97fbd45..8bec351ec 100644\n--- a/examples/server_node_efd/node/node.c\n+++ b/examples/server_node_efd/node/node.c\n@@ -275,7 +275,7 @@ handle_packets(struct rte_hash *h, struct rte_mbuf **bufs, uint16_t num_packets)\n \tfor (i = 0; i < num_packets; i++) {\n \t\t/* Handle IPv4 header.*/\n \t\tipv4_hdr = rte_pktmbuf_mtod_offset(bufs[i], struct ipv4_hdr *,\n-\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\tsizeof(struct rte_ether_hdr));\n \t\tipv4_dst_ip[i] = ipv4_hdr->dst_addr;\n \t\tkey_ptrs[i] = &ipv4_dst_ip[i];\n \t}\ndiff --git a/examples/server_node_efd/server/main.c b/examples/server_node_efd/server/main.c\nindex 404f1f165..a086c5a77 100644\n--- a/examples/server_node_efd/server/main.c\n+++ b/examples/server_node_efd/server/main.c\n@@ -68,7 +68,7 @@ get_printable_mac_addr(uint16_t port)\n {\n \tstatic const char err_address[] = \"00:00:00:00:00:00\";\n \tstatic char addresses[RTE_MAX_ETHPORTS][sizeof(err_address)];\n-\tstruct ether_addr mac;\n+\tstruct rte_ether_addr mac;\n \n \tif (unlikely(port >= RTE_MAX_ETHPORTS))\n \t\treturn err_address;\n@@ -253,7 +253,7 @@ process_packets(uint32_t port_num __rte_unused, struct rte_mbuf *pkts[],\n \tfor (i = 0; i < rx_count; i++) {\n \t\t/* Handle IPv4 header.*/\n \t\tipv4_hdr = rte_pktmbuf_mtod_offset(pkts[i], struct ipv4_hdr *,\n-\t\t\t\tsizeof(struct ether_hdr));\n+\t\t\t\tsizeof(struct rte_ether_hdr));\n \t\tipv4_dst_ip[i] = ipv4_hdr->dst_addr;\n \t\tkey_ptrs[i] = (void *)&ipv4_dst_ip[i];\n \t}\ndiff --git a/examples/skeleton/basicfwd.c b/examples/skeleton/basicfwd.c\nindex 4aba1dc38..7e3cd8715 100644\n--- a/examples/skeleton/basicfwd.c\n+++ b/examples/skeleton/basicfwd.c\n@@ -82,7 +82,7 @@ port_init(uint16_t port, struct rte_mempool *mbuf_pool)\n \t\treturn retval;\n \n \t/* Display the port MAC address. */\n-\tstruct ether_addr addr;\n+\tstruct rte_ether_addr addr;\n \trte_eth_macaddr_get(port, &addr);\n \tprintf(\"Port %u MAC: %02\" PRIx8 \" %02\" PRIx8 \" %02\" PRIx8\n \t\t\t   \" %02\" PRIx8 \" %02\" PRIx8 \" %02\" PRIx8 \"\\n\",\ndiff --git a/examples/tep_termination/main.c b/examples/tep_termination/main.c\nindex e0fe7bd2f..9d8ab17dd 100644\n--- a/examples/tep_termination/main.c\n+++ b/examples/tep_termination/main.c\n@@ -157,7 +157,7 @@ uint16_t ports[RTE_MAX_ETHPORTS];\n static unsigned nb_ports; /**< The number of ports specified in command line */\n \n /* ethernet addresses of ports */\n-struct ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n+struct rte_ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n \n /* heads for the main used and free linked lists for the data path. */\n static struct virtio_net_data_ll *ll_root_used;\ndiff --git a/examples/tep_termination/main.h b/examples/tep_termination/main.h\nindex 966c63a51..7a70f7396 100644\n--- a/examples/tep_termination/main.h\n+++ b/examples/tep_termination/main.h\n@@ -50,7 +50,7 @@ struct vhost_dev {\n \t/**< Memory region information for gpa to hpa translation. */\n \tstruct virtio_memory_regions_hpa *regions_hpa;\n \t/**< Device MAC address (Obtained on first TX packet). */\n-\tstruct ether_addr mac_address;\n+\tstruct rte_ether_addr mac_address;\n \t/**< RX queue number. */\n \tuint16_t rx_q;\n \t/**< Data core that the device is added to. */\ndiff --git a/examples/tep_termination/vxlan.c b/examples/tep_termination/vxlan.c\nindex 7732821d9..6545b8ae4 100644\n--- a/examples/tep_termination/vxlan.c\n+++ b/examples/tep_termination/vxlan.c\n@@ -28,19 +28,20 @@ get_psd_sum(void *l3_hdr, uint16_t ethertype, uint64_t ol_flags)\n  * header.\n  */\n static void\n-parse_ethernet(struct ether_hdr *eth_hdr, union tunnel_offload_info *info,\n+parse_ethernet(struct rte_ether_hdr *eth_hdr, union tunnel_offload_info *info,\n \t\tuint8_t *l4_proto)\n {\n \tstruct ipv4_hdr *ipv4_hdr;\n \tstruct ipv6_hdr *ipv6_hdr;\n \tuint16_t ethertype;\n \n-\tinfo->outer_l2_len = sizeof(struct ether_hdr);\n+\tinfo->outer_l2_len = sizeof(struct rte_ether_hdr);\n \tethertype = rte_be_to_cpu_16(eth_hdr->ether_type);\n \n \tif (ethertype == ETHER_TYPE_VLAN) {\n-\t\tstruct vlan_hdr *vlan_hdr = (struct vlan_hdr *)(eth_hdr + 1);\n-\t\tinfo->outer_l2_len  += sizeof(struct vlan_hdr);\n+\t\tstruct rte_vlan_hdr *vlan_hdr =\n+\t\t\t(struct rte_vlan_hdr *)(eth_hdr + 1);\n+\t\tinfo->outer_l2_len  += sizeof(struct rte_vlan_hdr);\n \t\tethertype = rte_be_to_cpu_16(vlan_hdr->eth_proto);\n \t}\n \n@@ -68,7 +69,8 @@ parse_ethernet(struct ether_hdr *eth_hdr, union tunnel_offload_info *info,\n  * Calculate the checksum of a packet in hardware\n  */\n static uint64_t\n-process_inner_cksums(struct ether_hdr *eth_hdr, union tunnel_offload_info *info)\n+process_inner_cksums(struct rte_ether_hdr *eth_hdr,\n+\t\tunion tunnel_offload_info *info)\n {\n \tvoid *l3_hdr = NULL;\n \tuint8_t l4_proto;\n@@ -80,12 +82,13 @@ process_inner_cksums(struct ether_hdr *eth_hdr, union tunnel_offload_info *info)\n \tstruct sctp_hdr *sctp_hdr;\n \tuint64_t ol_flags = 0;\n \n-\tinfo->l2_len = sizeof(struct ether_hdr);\n+\tinfo->l2_len = sizeof(struct rte_ether_hdr);\n \tethertype = rte_be_to_cpu_16(eth_hdr->ether_type);\n \n \tif (ethertype == ETHER_TYPE_VLAN) {\n-\t\tstruct vlan_hdr *vlan_hdr = (struct vlan_hdr *)(eth_hdr + 1);\n-\t\tinfo->l2_len  += sizeof(struct vlan_hdr);\n+\t\tstruct rte_vlan_hdr *vlan_hdr =\n+\t\t\t(struct rte_vlan_hdr *)(eth_hdr + 1);\n+\t\tinfo->l2_len  += sizeof(struct rte_vlan_hdr);\n \t\tethertype = rte_be_to_cpu_16(vlan_hdr->eth_proto);\n \t}\n \n@@ -141,7 +144,8 @@ decapsulation(struct rte_mbuf *pkt)\n \tuint16_t outer_header_len;\n \tstruct udp_hdr *udp_hdr;\n \tunion tunnel_offload_info info = { .data = 0 };\n-\tstruct ether_hdr *phdr = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\tstruct rte_ether_hdr *phdr =\n+\t\trte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \n \tparse_ethernet(phdr, &info, &l4_proto);\n \n@@ -158,7 +162,7 @@ decapsulation(struct rte_mbuf *pkt)\n \t\t(pkt->packet_type & RTE_PTYPE_TUNNEL_MASK) == 0)\n \t\treturn -1;\n \touter_header_len = info.outer_l2_len + info.outer_l3_len\n-\t\t+ sizeof(struct udp_hdr) + sizeof(struct vxlan_hdr);\n+\t\t+ sizeof(struct udp_hdr) + sizeof(struct rte_vxlan_hdr);\n \n \trte_pktmbuf_adj(pkt, outer_header_len);\n \n@@ -172,29 +176,31 @@ encapsulation(struct rte_mbuf *m, uint8_t queue_id)\n \tuint64_t ol_flags = 0;\n \tuint32_t old_len = m->pkt_len, hash;\n \tunion tunnel_offload_info tx_offload = { .data = 0 };\n-\tstruct ether_hdr *phdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\tstruct rte_ether_hdr *phdr =\n+\t\trte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \t/*Allocate space for new ethernet, IPv4, UDP and VXLAN headers*/\n-\tstruct ether_hdr *pneth = (struct ether_hdr *) rte_pktmbuf_prepend(m,\n-\t\tsizeof(struct ether_hdr) + sizeof(struct ipv4_hdr)\n-\t\t+ sizeof(struct udp_hdr) + sizeof(struct vxlan_hdr));\n+\tstruct rte_ether_hdr *pneth =\n+\t\t(struct rte_ether_hdr *) rte_pktmbuf_prepend(m,\n+\t\tsizeof(struct rte_ether_hdr) + sizeof(struct ipv4_hdr)\n+\t\t+ sizeof(struct udp_hdr) + sizeof(struct rte_vxlan_hdr));\n \n \tstruct ipv4_hdr *ip = (struct ipv4_hdr *) &pneth[1];\n \tstruct udp_hdr *udp = (struct udp_hdr *) &ip[1];\n-\tstruct vxlan_hdr *vxlan = (struct vxlan_hdr *) &udp[1];\n+\tstruct rte_vxlan_hdr *vxlan = (struct rte_vxlan_hdr *) &udp[1];\n \n \t/* convert TX queue ID to vport ID */\n \tvport_id = queue_id - 1;\n \n \t/* replace original Ethernet header with ours */\n \tpneth = rte_memcpy(pneth, &app_l2_hdr[vport_id],\n-\t\tsizeof(struct ether_hdr));\n+\t\tsizeof(struct rte_ether_hdr));\n \n \t/* copy in IP header */\n \tip = rte_memcpy(ip, &app_ip_hdr[vport_id],\n \t\tsizeof(struct ipv4_hdr));\n \tip->total_length = rte_cpu_to_be_16(m->pkt_len\n-\t\t\t\t- sizeof(struct ether_hdr));\n+\t\t\t\t- sizeof(struct rte_ether_hdr));\n \n \t/* outer IP checksum */\n \tol_flags |= PKT_TX_OUTER_IP_CKSUM;\n@@ -209,7 +215,7 @@ encapsulation(struct rte_mbuf *m, uint8_t queue_id)\n \t\tm->l2_len += ETHER_VXLAN_HLEN;\n \t}\n \n-\tm->outer_l2_len = sizeof(struct ether_hdr);\n+\tm->outer_l2_len = sizeof(struct rte_ether_hdr);\n \tm->outer_l3_len = sizeof(struct ipv4_hdr);\n \n \tol_flags |= PKT_TX_TUNNEL_VXLAN;\n@@ -225,7 +231,7 @@ encapsulation(struct rte_mbuf *m, uint8_t queue_id)\n \tudp->dgram_cksum = 0;\n \tudp->dgram_len = rte_cpu_to_be_16(old_len\n \t\t\t\t+ sizeof(struct udp_hdr)\n-\t\t\t\t+ sizeof(struct vxlan_hdr));\n+\t\t\t\t+ sizeof(struct rte_vxlan_hdr));\n \n \tudp->dst_port = rte_cpu_to_be_16(vxdev.dst_port);\n \thash = rte_hash_crc(phdr, 2 * ETHER_ADDR_LEN, phdr->ether_type);\ndiff --git a/examples/tep_termination/vxlan.h b/examples/tep_termination/vxlan.h\nindex bff786a2a..780ae73cc 100644\n--- a/examples/tep_termination/vxlan.h\n+++ b/examples/tep_termination/vxlan.h\n@@ -17,15 +17,15 @@\n #define DEFAULT_VXLAN_PORT 4789\n \n extern struct ipv4_hdr app_ip_hdr[VXLAN_N_PORTS];\n-extern struct ether_hdr app_l2_hdr[VXLAN_N_PORTS];\n+extern struct rte_ether_hdr app_l2_hdr[VXLAN_N_PORTS];\n extern uint8_t tx_checksum;\n extern uint16_t tso_segsz;\n \n struct vxlan_port {\n \tuint32_t vport_id;           /**< VirtIO port id */\n \tuint32_t peer_ip;            /**< remote VTEP IP address */\n-\tstruct ether_addr peer_mac;  /**< remote VTEP MAC address */\n-\tstruct ether_addr vport_mac; /**< VirtIO port MAC address */\n+\tstruct rte_ether_addr peer_mac;  /**< remote VTEP MAC address */\n+\tstruct rte_ether_addr vport_mac; /**< VirtIO port MAC address */\n } __rte_cache_aligned;\n \n struct vxlan_conf {\ndiff --git a/examples/tep_termination/vxlan_setup.c b/examples/tep_termination/vxlan_setup.c\nindex ad7fbe9c6..e4af7bc4d 100644\n--- a/examples/tep_termination/vxlan_setup.c\n+++ b/examples/tep_termination/vxlan_setup.c\n@@ -49,7 +49,7 @@\n struct vxlan_conf vxdev;\n \n struct ipv4_hdr app_ip_hdr[VXLAN_N_PORTS];\n-struct ether_hdr app_l2_hdr[VXLAN_N_PORTS];\n+struct rte_ether_hdr app_l2_hdr[VXLAN_N_PORTS];\n \n /* local VTEP IP address */\n uint8_t vxlan_multicast_ips[2][4] = { {239, 1, 1, 1 }, {239, 1, 2, 1 } };\n@@ -227,7 +227,7 @@ int\n vxlan_link(struct vhost_dev *vdev, struct rte_mbuf *m)\n {\n \tint i, ret;\n-\tstruct ether_hdr *pkt_hdr;\n+\tstruct rte_ether_hdr *pkt_hdr;\n \tuint64_t portid = vdev->vid;\n \tstruct ipv4_hdr *ip;\n \n@@ -242,7 +242,7 @@ vxlan_link(struct vhost_dev *vdev, struct rte_mbuf *m)\n \t}\n \n \t/* Learn MAC address of guest device from packet */\n-\tpkt_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\tpkt_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \tif (is_same_ether_addr(&(pkt_hdr->s_addr), &vdev->mac_address)) {\n \t\tRTE_LOG(INFO, VHOST_DATA,\n \t\t\t\"(%d) WARNING: This device is using an existing\"\ndiff --git a/examples/tep_termination/vxlan_setup.h b/examples/tep_termination/vxlan_setup.h\nindex 2c20e2e39..4dc37d673 100644\n--- a/examples/tep_termination/vxlan_setup.h\n+++ b/examples/tep_termination/vxlan_setup.h\n@@ -9,7 +9,7 @@ extern uint16_t nb_devices;\n extern uint16_t udp_port;\n extern uint8_t filter_idx;\n extern uint16_t ports[RTE_MAX_ETHPORTS];\n-extern struct ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n+extern struct rte_ether_addr ports_eth_addr[RTE_MAX_ETHPORTS];\n extern uint32_t enable_stats;\n extern struct device_statistics dev_statistics[MAX_DEVICES];\n extern uint8_t rx_decap;\ndiff --git a/examples/vhost/main.c b/examples/vhost/main.c\nindex 8f7b758c3..cd1a51bfa 100644\n--- a/examples/vhost/main.c\n+++ b/examples/vhost/main.c\n@@ -161,7 +161,7 @@ const uint16_t vlan_tags[] = {\n };\n \n /* ethernet addresses of ports */\n-static struct ether_addr vmdq_ports_eth_addr[RTE_MAX_ETHPORTS];\n+static struct rte_ether_addr vmdq_ports_eth_addr[RTE_MAX_ETHPORTS];\n \n static struct vhost_dev_tailq_list vhost_dev_list =\n \tTAILQ_HEAD_INITIALIZER(vhost_dev_list);\n@@ -660,7 +660,7 @@ static unsigned check_ports_num(unsigned nb_ports)\n }\n \n static __rte_always_inline struct vhost_dev *\n-find_vhost_dev(struct ether_addr *mac)\n+find_vhost_dev(struct rte_ether_addr *mac)\n {\n \tstruct vhost_dev *vdev;\n \n@@ -680,11 +680,11 @@ find_vhost_dev(struct ether_addr *mac)\n static int\n link_vmdq(struct vhost_dev *vdev, struct rte_mbuf *m)\n {\n-\tstruct ether_hdr *pkt_hdr;\n+\tstruct rte_ether_hdr *pkt_hdr;\n \tint i, ret;\n \n \t/* Learn MAC address of guest device from packet */\n-\tpkt_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\tpkt_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \tif (find_vhost_dev(&pkt_hdr->s_addr)) {\n \t\tRTE_LOG(ERR, VHOST_DATA,\n@@ -786,10 +786,10 @@ virtio_xmit(struct vhost_dev *dst_vdev, struct vhost_dev *src_vdev,\n static __rte_always_inline int\n virtio_tx_local(struct vhost_dev *vdev, struct rte_mbuf *m)\n {\n-\tstruct ether_hdr *pkt_hdr;\n+\tstruct rte_ether_hdr *pkt_hdr;\n \tstruct vhost_dev *dst_vdev;\n \n-\tpkt_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\tpkt_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \tdst_vdev = find_vhost_dev(&pkt_hdr->d_addr);\n \tif (!dst_vdev)\n@@ -824,7 +824,8 @@ find_local_dest(struct vhost_dev *vdev, struct rte_mbuf *m,\n \tuint32_t *offset, uint16_t *vlan_tag)\n {\n \tstruct vhost_dev *dst_vdev;\n-\tstruct ether_hdr *pkt_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\tstruct rte_ether_hdr *pkt_hdr =\n+\t\trte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \tdst_vdev = find_vhost_dev(&pkt_hdr->d_addr);\n \tif (!dst_vdev)\n@@ -866,7 +867,8 @@ static void virtio_tx_offload(struct rte_mbuf *m)\n \tvoid *l3_hdr;\n \tstruct ipv4_hdr *ipv4_hdr = NULL;\n \tstruct tcp_hdr *tcp_hdr = NULL;\n-\tstruct ether_hdr *eth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\tstruct rte_ether_hdr *eth_hdr =\n+\t\trte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \tl3_hdr = (char *)eth_hdr + m->l2_len;\n \n@@ -910,10 +912,10 @@ virtio_tx_route(struct vhost_dev *vdev, struct rte_mbuf *m, uint16_t vlan_tag)\n \tstruct mbuf_table *tx_q;\n \tunsigned offset = 0;\n \tconst uint16_t lcore_id = rte_lcore_id();\n-\tstruct ether_hdr *nh;\n+\tstruct rte_ether_hdr *nh;\n \n \n-\tnh = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\tnh = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \tif (unlikely(is_broadcast_ether_addr(&nh->d_addr))) {\n \t\tstruct vhost_dev *vdev2;\n \n@@ -946,10 +948,10 @@ virtio_tx_route(struct vhost_dev *vdev, struct rte_mbuf *m, uint16_t vlan_tag)\n \t/*Add packet to the port tx queue*/\n \ttx_q = &lcore_tx_queue[lcore_id];\n \n-\tnh = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\tnh = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \tif (unlikely(nh->ether_type == rte_cpu_to_be_16(ETHER_TYPE_VLAN))) {\n \t\t/* Guest has inserted the vlan tag. */\n-\t\tstruct vlan_hdr *vh = (struct vlan_hdr *) (nh + 1);\n+\t\tstruct rte_vlan_hdr *vh = (struct rte_vlan_hdr *) (nh + 1);\n \t\tuint16_t vlan_tag_be = rte_cpu_to_be_16(vlan_tag);\n \t\tif ((vm2vm_mode == VM2VM_HARDWARE) &&\n \t\t\t(vh->vlan_tci != vlan_tag_be))\ndiff --git a/examples/vhost/main.h b/examples/vhost/main.h\nindex 764c33afe..7cba0edbf 100644\n--- a/examples/vhost/main.h\n+++ b/examples/vhost/main.h\n@@ -35,7 +35,7 @@ struct vhost_dev {\n \t/**< Number of memory regions for gpa to hpa translation. */\n \tuint32_t nregions_hpa;\n \t/**< Device MAC address (Obtained on first TX packet). */\n-\tstruct ether_addr mac_address;\n+\tstruct rte_ether_addr mac_address;\n \t/**< RX VMDQ queue number. */\n \tuint16_t vmdq_rx_q;\n \t/**< Vlan tag assigned to the pool */\ndiff --git a/examples/vm_power_manager/channel_monitor.c b/examples/vm_power_manager/channel_monitor.c\nindex 4a287109b..1194f62f8 100644\n--- a/examples/vm_power_manager/channel_monitor.c\n+++ b/examples/vm_power_manager/channel_monitor.c\n@@ -56,12 +56,12 @@ static struct policy policies[MAX_CLIENTS];\n #ifdef USE_JANSSON\n \n union PFID {\n-\tstruct ether_addr addr;\n+\tstruct rte_ether_addr addr;\n \tuint64_t pfid;\n };\n \n static int\n-str_to_ether_addr(const char *a, struct ether_addr *ether_addr)\n+str_to_ether_addr(const char *a, struct rte_ether_addr *ether_addr)\n {\n \tint i;\n \tchar *end;\n@@ -404,7 +404,7 @@ get_pfid(struct policy *pol)\n \n \t\tRTE_ETH_FOREACH_DEV(x) {\n \t\t\tret = rte_pmd_i40e_query_vfid_by_mac(x,\n-\t\t\t\t(struct ether_addr *)&(pol->pkt.vfid[i]));\n+\t\t\t\t(struct rte_ether_addr *)&(pol->pkt.vfid[i]));\n \t\t\tif (ret != -EINVAL) {\n \t\t\t\tpol->port[i] = x;\n \t\t\t\tbreak;\ndiff --git a/examples/vm_power_manager/guest_cli/vm_power_cli_guest.c b/examples/vm_power_manager/guest_cli/vm_power_cli_guest.c\nindex 2d9e7689a..78c3aa0a1 100644\n--- a/examples/vm_power_manager/guest_cli/vm_power_cli_guest.c\n+++ b/examples/vm_power_manager/guest_cli/vm_power_cli_guest.c\n@@ -34,7 +34,7 @@ struct cmd_quit_result {\n };\n \n union PFID {\n-\tstruct ether_addr addr;\n+\tstruct rte_ether_addr addr;\n \tuint64_t pfid;\n };\n \ndiff --git a/examples/vm_power_manager/main.c b/examples/vm_power_manager/main.c\nindex 5fa13fe62..f8cab1162 100644\n--- a/examples/vm_power_manager/main.c\n+++ b/examples/vm_power_manager/main.c\n@@ -105,7 +105,7 @@ port_init(uint16_t port, struct rte_mempool *mbuf_pool)\n \t\treturn retval;\n \n \t/* Display the port MAC address. */\n-\tstruct ether_addr addr;\n+\tstruct rte_ether_addr addr;\n \trte_eth_macaddr_get(port, &addr);\n \tprintf(\"Port %u MAC: %02\" PRIx8 \" %02\" PRIx8 \" %02\" PRIx8\n \t\t\t   \" %02\" PRIx8 \" %02\" PRIx8 \" %02\" PRIx8 \"\\n\",\n@@ -355,7 +355,7 @@ main(int argc, char **argv)\n \n \t\t/* Initialize ports. */\n \t\tRTE_ETH_FOREACH_DEV(portid) {\n-\t\t\tstruct ether_addr eth;\n+\t\t\tstruct rte_ether_addr eth;\n \t\t\tint w, j;\n \t\t\tint ret;\n \ndiff --git a/examples/vmdq/main.c b/examples/vmdq/main.c\nindex 627a5da48..5195a515a 100644\n--- a/examples/vmdq/main.c\n+++ b/examples/vmdq/main.c\n@@ -106,12 +106,12 @@ const uint16_t num_vlans = RTE_DIM(vlan_tags);\n static uint16_t num_pf_queues,  num_vmdq_queues;\n static uint16_t vmdq_pool_base, vmdq_queue_base;\n /* pool mac addr template, pool mac addr is like: 52 54 00 12 port# pool# */\n-static struct ether_addr pool_addr_template = {\n+static struct rte_ether_addr pool_addr_template = {\n \t.addr_bytes = {0x52, 0x54, 0x00, 0x12, 0x00, 0x00}\n };\n \n /* ethernet addresses of ports */\n-static struct ether_addr vmdq_ports_eth_addr[RTE_MAX_ETHPORTS];\n+static struct rte_ether_addr vmdq_ports_eth_addr[RTE_MAX_ETHPORTS];\n \n #define MAX_QUEUE_NUM_10G 128\n #define MAX_QUEUE_NUM_1G 8\n@@ -281,7 +281,7 @@ port_init(uint16_t port, struct rte_mempool *mbuf_pool)\n \t * Removes this after i40e fixes this issue.\n \t */\n \tfor (q = 0; q < num_pools; q++) {\n-\t\tstruct ether_addr mac;\n+\t\tstruct rte_ether_addr mac;\n \t\tmac = pool_addr_template;\n \t\tmac.addr_bytes[4] = port;\n \t\tmac.addr_bytes[5] = q;\n@@ -407,10 +407,10 @@ vmdq_parse_args(int argc, char **argv)\n static void\n update_mac_address(struct rte_mbuf *m, unsigned dst_port)\n {\n-\tstruct ether_hdr *eth;\n+\tstruct rte_ether_hdr *eth;\n \tvoid *tmp;\n \n-\teth = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \t/* 02:00:00:00:00:xx */\n \ttmp = &eth->d_addr.addr_bytes[0];\ndiff --git a/examples/vmdq_dcb/main.c b/examples/vmdq_dcb/main.c\nindex 646368395..75cf31a10 100644\n--- a/examples/vmdq_dcb/main.c\n+++ b/examples/vmdq_dcb/main.c\n@@ -121,12 +121,12 @@ const uint16_t vlan_tags[] = {\n \n const uint16_t num_vlans = RTE_DIM(vlan_tags);\n /* pool mac addr template, pool mac addr is like: 52 54 00 12 port# pool# */\n-static struct ether_addr pool_addr_template = {\n+static struct rte_ether_addr pool_addr_template = {\n \t.addr_bytes = {0x52, 0x54, 0x00, 0x12, 0x00, 0x00}\n };\n \n /* ethernet addresses of ports */\n-static struct ether_addr vmdq_ports_eth_addr[RTE_MAX_ETHPORTS];\n+static struct rte_ether_addr vmdq_ports_eth_addr[RTE_MAX_ETHPORTS];\n \n /* Builds up the correct configuration for vmdq+dcb based on the vlan tags array\n  * given above, and the number of traffic classes available for use. */\n@@ -332,7 +332,7 @@ port_init(uint16_t port, struct rte_mempool *mbuf_pool)\n \n \t/* Set mac for each pool.*/\n \tfor (q = 0; q < num_pools; q++) {\n-\t\tstruct ether_addr mac;\n+\t\tstruct rte_ether_addr mac;\n \n \t\tmac = pool_addr_template;\n \t\tmac.addr_bytes[4] = port;\n@@ -494,10 +494,10 @@ vmdq_parse_args(int argc, char **argv)\n static void\n update_mac_address(struct rte_mbuf *m, unsigned dst_port)\n {\n-\tstruct ether_hdr *eth;\n+\tstruct rte_ether_hdr *eth;\n \tvoid *tmp;\n \n-\teth = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n \t/* 02:00:00:00:00:xx */\n \ttmp = &eth->d_addr.addr_bytes[0];\ndiff --git a/lib/librte_ethdev/rte_class_eth.c b/lib/librte_ethdev/rte_class_eth.c\nindex cb99c92ec..e554668da 100644\n--- a/lib/librte_ethdev/rte_class_eth.c\n+++ b/lib/librte_ethdev/rte_class_eth.c\n@@ -44,7 +44,7 @@ eth_mac_cmp(const char *key __rte_unused,\n \t\tconst char *value, void *opaque)\n {\n \tint ret;\n-\tstruct ether_addr mac;\n+\tstruct rte_ether_addr mac;\n \tconst struct rte_eth_dev_data *data = opaque;\n \tstruct rte_eth_dev_info dev_info;\n \tuint32_t index;\ndiff --git a/lib/librte_ethdev/rte_eth_ctrl.h b/lib/librte_ethdev/rte_eth_ctrl.h\nindex 1ef4ed92b..85c1e372a 100644\n--- a/lib/librte_ethdev/rte_eth_ctrl.h\n+++ b/lib/librte_ethdev/rte_eth_ctrl.h\n@@ -75,7 +75,7 @@ struct rte_eth_mac_filter {\n \tuint8_t is_vf; /**< 1 for VF, 0 for port dev */\n \tuint16_t dst_id; /**< VF ID, available when is_vf is 1*/\n \tenum rte_mac_filter_type filter_type; /**< MAC filter type */\n-\tstruct ether_addr mac_addr;\n+\tstruct rte_ether_addr mac_addr;\n };\n \n /**\n@@ -91,7 +91,7 @@ struct rte_eth_mac_filter {\n  * RTE_ETH_FILTER_DELETE and RTE_ETH_FILTER_GET operations.\n  */\n struct rte_eth_ethertype_filter {\n-\tstruct ether_addr mac_addr;   /**< Mac address to match. */\n+\tstruct rte_ether_addr mac_addr;   /**< Mac address to match. */\n \tuint16_t ether_type;          /**< Ether type to match */\n \tuint16_t flags;               /**< Flags from RTE_ETHTYPE_FLAGS_* */\n \tuint16_t queue;               /**< Queue assigned to when match*/\n@@ -216,8 +216,8 @@ enum rte_tunnel_iptype {\n  * Tunneling Packet filter configuration.\n  */\n struct rte_eth_tunnel_filter_conf {\n-\tstruct ether_addr outer_mac;    /**< Outer MAC address to match. */\n-\tstruct ether_addr inner_mac;    /**< Inner MAC address to match. */\n+\tstruct rte_ether_addr outer_mac;    /**< Outer MAC address to match. */\n+\tstruct rte_ether_addr inner_mac;    /**< Inner MAC address to match. */\n \tuint16_t inner_vlan;            /**< Inner VLAN to match. */\n \tenum rte_tunnel_iptype ip_type; /**< IP address type. */\n \t/** Outer destination IP address to match if ETH_TUNNEL_FILTER_OIP\n@@ -424,7 +424,7 @@ struct rte_eth_sctpv6_flow {\n  * A structure used to define the input for MAC VLAN flow\n  */\n struct rte_eth_mac_vlan_flow {\n-\tstruct ether_addr mac_addr;  /**< Mac address to match. */\n+\tstruct rte_ether_addr mac_addr;  /**< Mac address to match. */\n };\n \n /**\n@@ -444,7 +444,7 @@ struct rte_eth_tunnel_flow {\n \tenum rte_eth_fdir_tunnel_type tunnel_type; /**< Tunnel type to match. */\n \t/** Tunnel ID to match. TNI, VNI... in big endian. */\n \tuint32_t tunnel_id;\n-\tstruct ether_addr mac_addr;                /**< Mac address to match. */\n+\tstruct rte_ether_addr mac_addr;            /**< Mac address to match. */\n };\n \n /**\ndiff --git a/lib/librte_ethdev/rte_ethdev.c b/lib/librte_ethdev/rte_ethdev.c\nindex d7cfa3d53..b868535c2 100644\n--- a/lib/librte_ethdev/rte_ethdev.c\n+++ b/lib/librte_ethdev/rte_ethdev.c\n@@ -1346,7 +1346,7 @@ static void\n rte_eth_dev_mac_restore(struct rte_eth_dev *dev,\n \t\t\tstruct rte_eth_dev_info *dev_info)\n {\n-\tstruct ether_addr *addr;\n+\tstruct rte_ether_addr *addr;\n \tuint16_t i;\n \tuint32_t pool = 0;\n \tuint64_t pool_mask;\n@@ -2591,7 +2591,7 @@ rte_eth_dev_get_supported_ptypes(uint16_t port_id, uint32_t ptype_mask,\n }\n \n void\n-rte_eth_macaddr_get(uint16_t port_id, struct ether_addr *mac_addr)\n+rte_eth_macaddr_get(uint16_t port_id, struct rte_ether_addr *mac_addr)\n {\n \tstruct rte_eth_dev *dev;\n \n@@ -3078,7 +3078,7 @@ rte_eth_led_off(uint16_t port_id)\n  * an empty spot.\n  */\n static int\n-get_mac_addr_index(uint16_t port_id, const struct ether_addr *addr)\n+get_mac_addr_index(uint16_t port_id, const struct rte_ether_addr *addr)\n {\n \tstruct rte_eth_dev_info dev_info;\n \tstruct rte_eth_dev *dev = &rte_eth_devices[port_id];\n@@ -3094,10 +3094,10 @@ get_mac_addr_index(uint16_t port_id, const struct ether_addr *addr)\n \treturn -1;\n }\n \n-static const struct ether_addr null_mac_addr;\n+static const struct rte_ether_addr null_mac_addr;\n \n int\n-rte_eth_dev_mac_addr_add(uint16_t port_id, struct ether_addr *addr,\n+rte_eth_dev_mac_addr_add(uint16_t port_id, struct rte_ether_addr *addr,\n \t\t\tuint32_t pool)\n {\n \tstruct rte_eth_dev *dev;\n@@ -3150,7 +3150,7 @@ rte_eth_dev_mac_addr_add(uint16_t port_id, struct ether_addr *addr,\n }\n \n int\n-rte_eth_dev_mac_addr_remove(uint16_t port_id, struct ether_addr *addr)\n+rte_eth_dev_mac_addr_remove(uint16_t port_id, struct rte_ether_addr *addr)\n {\n \tstruct rte_eth_dev *dev;\n \tint index;\n@@ -3181,7 +3181,7 @@ rte_eth_dev_mac_addr_remove(uint16_t port_id, struct ether_addr *addr)\n }\n \n int\n-rte_eth_dev_default_mac_addr_set(uint16_t port_id, struct ether_addr *addr)\n+rte_eth_dev_default_mac_addr_set(uint16_t port_id, struct rte_ether_addr *addr)\n {\n \tstruct rte_eth_dev *dev;\n \tint ret;\n@@ -3210,7 +3210,7 @@ rte_eth_dev_default_mac_addr_set(uint16_t port_id, struct ether_addr *addr)\n  * an empty spot.\n  */\n static int\n-get_hash_mac_addr_index(uint16_t port_id, const struct ether_addr *addr)\n+get_hash_mac_addr_index(uint16_t port_id, const struct rte_ether_addr *addr)\n {\n \tstruct rte_eth_dev_info dev_info;\n \tstruct rte_eth_dev *dev = &rte_eth_devices[port_id];\n@@ -3229,7 +3229,7 @@ get_hash_mac_addr_index(uint16_t port_id, const struct ether_addr *addr)\n }\n \n int\n-rte_eth_dev_uc_hash_table_set(uint16_t port_id, struct ether_addr *addr,\n+rte_eth_dev_uc_hash_table_set(uint16_t port_id, struct rte_ether_addr *addr,\n \t\t\t\tuint8_t on)\n {\n \tint index;\n@@ -4066,7 +4066,7 @@ rte_eth_tx_queue_info_get(uint16_t port_id, uint16_t queue_id,\n \n int\n rte_eth_dev_set_mc_addr_list(uint16_t port_id,\n-\t\t\t     struct ether_addr *mc_addr_set,\n+\t\t\t     struct rte_ether_addr *mc_addr_set,\n \t\t\t     uint32_t nb_mc_addr)\n {\n \tstruct rte_eth_dev *dev;\ndiff --git a/lib/librte_ethdev/rte_ethdev.h b/lib/librte_ethdev/rte_ethdev.h\nindex 1f35e1dda..bcc1bb71d 100644\n--- a/lib/librte_ethdev/rte_ethdev.h\n+++ b/lib/librte_ethdev/rte_ethdev.h\n@@ -2316,7 +2316,7 @@ int rte_eth_dev_set_rx_queue_stats_mapping(uint16_t port_id,\n  *   A pointer to a structure of type *ether_addr* to be filled with\n  *   the Ethernet address of the Ethernet device.\n  */\n-void rte_eth_macaddr_get(uint16_t port_id, struct ether_addr *mac_addr);\n+void rte_eth_macaddr_get(uint16_t port_id, struct rte_ether_addr *mac_addr);\n \n /**\n  * Retrieve the contextual information of an Ethernet device.\n@@ -3029,7 +3029,7 @@ int rte_eth_dev_priority_flow_ctrl_set(uint16_t port_id,\n  *   - (-ENOSPC) if no more MAC addresses can be added.\n  *   - (-EINVAL) if MAC address is invalid.\n  */\n-int rte_eth_dev_mac_addr_add(uint16_t port_id, struct ether_addr *mac_addr,\n+int rte_eth_dev_mac_addr_add(uint16_t port_id, struct rte_ether_addr *mac_addr,\n \t\t\t\tuint32_t pool);\n \n /**\n@@ -3045,7 +3045,8 @@ int rte_eth_dev_mac_addr_add(uint16_t port_id, struct ether_addr *mac_addr,\n  *   - (-ENODEV) if *port* invalid.\n  *   - (-EADDRINUSE) if attempting to remove the default MAC address\n  */\n-int rte_eth_dev_mac_addr_remove(uint16_t port_id, struct ether_addr *mac_addr);\n+int rte_eth_dev_mac_addr_remove(uint16_t port_id,\n+\t\t\t\tstruct rte_ether_addr *mac_addr);\n \n /**\n  * Set the default MAC address.\n@@ -3061,7 +3062,7 @@ int rte_eth_dev_mac_addr_remove(uint16_t port_id, struct ether_addr *mac_addr);\n  *   - (-EINVAL) if MAC address is invalid.\n  */\n int rte_eth_dev_default_mac_addr_set(uint16_t port_id,\n-\t\tstruct ether_addr *mac_addr);\n+\t\tstruct rte_ether_addr *mac_addr);\n \n /**\n  * Update Redirection Table(RETA) of Receive Side Scaling of Ethernet device.\n@@ -3123,7 +3124,7 @@ int rte_eth_dev_rss_reta_query(uint16_t port_id,\n  *   - (-EIO) if device is removed.\n  *   - (-EINVAL) if bad parameter.\n  */\n-int rte_eth_dev_uc_hash_table_set(uint16_t port_id, struct ether_addr *addr,\n+int rte_eth_dev_uc_hash_table_set(uint16_t port_id, struct rte_ether_addr *addr,\n \t\t\t\t  uint8_t on);\n \n  /**\n@@ -3668,7 +3669,7 @@ rte_eth_dev_get_module_eeprom(uint16_t port_id,\n  *   - (-ENOSPC) if *port_id* has not enough multicast filtering resources.\n  */\n int rte_eth_dev_set_mc_addr_list(uint16_t port_id,\n-\t\t\t\t struct ether_addr *mc_addr_set,\n+\t\t\t\t struct rte_ether_addr *mc_addr_set,\n \t\t\t\t uint32_t nb_mc_addr);\n \n /**\ndiff --git a/lib/librte_ethdev/rte_ethdev_core.h b/lib/librte_ethdev/rte_ethdev_core.h\nindex 16300b147..b406e297e 100644\n--- a/lib/librte_ethdev/rte_ethdev_core.h\n+++ b/lib/librte_ethdev/rte_ethdev_core.h\n@@ -250,17 +250,17 @@ typedef void (*eth_mac_addr_remove_t)(struct rte_eth_dev *dev, uint32_t index);\n /**< @internal Remove MAC address from receive address register */\n \n typedef int (*eth_mac_addr_add_t)(struct rte_eth_dev *dev,\n-\t\t\t\t  struct ether_addr *mac_addr,\n+\t\t\t\t  struct rte_ether_addr *mac_addr,\n \t\t\t\t  uint32_t index,\n \t\t\t\t  uint32_t vmdq);\n /**< @internal Set a MAC address into Receive Address Address Register */\n \n typedef int (*eth_mac_addr_set_t)(struct rte_eth_dev *dev,\n-\t\t\t\t  struct ether_addr *mac_addr);\n+\t\t\t\t  struct rte_ether_addr *mac_addr);\n /**< @internal Set a MAC address into Receive Address Address Register */\n \n typedef int (*eth_uc_hash_table_set_t)(struct rte_eth_dev *dev,\n-\t\t\t\t  struct ether_addr *mac_addr,\n+\t\t\t\t  struct rte_ether_addr *mac_addr,\n \t\t\t\t  uint8_t on);\n /**< @internal Set a Unicast Hash bitmap */\n \n@@ -292,7 +292,7 @@ typedef int (*eth_udp_tunnel_port_del_t)(struct rte_eth_dev *dev,\n /**< @internal Delete tunneling UDP port */\n \n typedef int (*eth_set_mc_addr_list_t)(struct rte_eth_dev *dev,\n-\t\t\t\t      struct ether_addr *mc_addr_set,\n+\t\t\t\t      struct rte_ether_addr *mc_addr_set,\n \t\t\t\t      uint32_t nb_mc_addr);\n /**< @internal set the list of multicast addresses on an Ethernet device */\n \n@@ -597,13 +597,13 @@ struct rte_eth_dev_data {\n \t\t\t/**< Common RX buffer size handled by all queues. */\n \n \tuint64_t rx_mbuf_alloc_failed; /**< RX ring mbuf allocation failures. */\n-\tstruct ether_addr *mac_addrs;\n+\tstruct rte_ether_addr *mac_addrs;\n \t\t\t/**< Device Ethernet link address.\n \t\t\t *   @see rte_eth_dev_release_port()\n \t\t\t */\n \tuint64_t mac_pool_sel[ETH_NUM_RECEIVE_MAC_ADDR];\n \t\t\t/**< Bitmap associating MAC addresses to pools. */\n-\tstruct ether_addr *hash_mac_addrs;\n+\tstruct rte_ether_addr *hash_mac_addrs;\n \t\t\t/**< Device Ethernet MAC addresses of hash filtering.\n \t\t\t *   @see rte_eth_dev_release_port()\n \t\t\t */\ndiff --git a/lib/librte_ethdev/rte_flow.h b/lib/librte_ethdev/rte_flow.h\nindex 63f84fca6..050af52f8 100644\n--- a/lib/librte_ethdev/rte_flow.h\n+++ b/lib/librte_ethdev/rte_flow.h\n@@ -584,8 +584,8 @@ static const struct rte_flow_item_raw rte_flow_item_raw_mask = {\n  * same order as on the wire.\n  */\n struct rte_flow_item_eth {\n-\tstruct ether_addr dst; /**< Destination MAC. */\n-\tstruct ether_addr src; /**< Source MAC. */\n+\tstruct rte_ether_addr dst; /**< Destination MAC. */\n+\tstruct rte_ether_addr src; /**< Source MAC. */\n \trte_be16_t type; /**< EtherType or TPID. */\n };\n \n@@ -981,9 +981,9 @@ struct rte_flow_item_arp_eth_ipv4 {\n \tuint8_t hln; /**< Hardware address length, normally 6. */\n \tuint8_t pln; /**< Protocol address length, normally 4. */\n \trte_be16_t op; /**< Opcode (1 for request, 2 for reply). */\n-\tstruct ether_addr sha; /**< Sender hardware address. */\n+\tstruct rte_ether_addr sha; /**< Sender hardware address. */\n \trte_be32_t spa; /**< Sender IPv4 address. */\n-\tstruct ether_addr tha; /**< Target hardware address. */\n+\tstruct rte_ether_addr tha; /**< Target hardware address. */\n \trte_be32_t tpa; /**< Target IPv4 address. */\n };\n \n@@ -1127,7 +1127,7 @@ rte_flow_item_icmp6_nd_opt_mask = {\n struct rte_flow_item_icmp6_nd_opt_sla_eth {\n \tuint8_t type; /**< ND option type, normally 1. */\n \tuint8_t length; /**< ND option length, normally 1. */\n-\tstruct ether_addr sla; /**< Source Ethernet LLA. */\n+\tstruct rte_ether_addr sla; /**< Source Ethernet LLA. */\n };\n \n /** Default mask for RTE_FLOW_ITEM_TYPE_ICMP6_ND_OPT_SLA_ETH. */\n@@ -1152,7 +1152,7 @@ rte_flow_item_icmp6_nd_opt_sla_eth_mask = {\n struct rte_flow_item_icmp6_nd_opt_tla_eth {\n \tuint8_t type; /**< ND option type, normally 2. */\n \tuint8_t length; /**< ND option length, normally 1. */\n-\tstruct ether_addr tla; /**< Target Ethernet LLA. */\n+\tstruct rte_ether_addr tla; /**< Target Ethernet LLA. */\n };\n \n /** Default mask for RTE_FLOW_ITEM_TYPE_ICMP6_ND_OPT_TLA_ETH. */\ndiff --git a/lib/librte_eventdev/rte_event_eth_rx_adapter.c b/lib/librte_eventdev/rte_event_eth_rx_adapter.c\nindex b60674b61..b6ff57b42 100644\n--- a/lib/librte_eventdev/rte_event_eth_rx_adapter.c\n+++ b/lib/librte_eventdev/rte_event_eth_rx_adapter.c\n@@ -614,8 +614,9 @@ static inline void\n rxa_mtoip(struct rte_mbuf *m, struct ipv4_hdr **ipv4_hdr,\n \tstruct ipv6_hdr **ipv6_hdr)\n {\n-\tstruct ether_hdr *eth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n-\tstruct vlan_hdr *vlan_hdr;\n+\tstruct rte_ether_hdr *eth_hdr =\n+\t\trte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n+\tstruct rte_vlan_hdr *vlan_hdr;\n \n \t*ipv4_hdr = NULL;\n \t*ipv6_hdr = NULL;\n@@ -630,7 +631,7 @@ rxa_mtoip(struct rte_mbuf *m, struct ipv4_hdr **ipv4_hdr,\n \t\tbreak;\n \n \tcase RTE_BE16(ETHER_TYPE_VLAN):\n-\t\tvlan_hdr = (struct vlan_hdr *)(eth_hdr + 1);\n+\t\tvlan_hdr = (struct rte_vlan_hdr *)(eth_hdr + 1);\n \t\tswitch (vlan_hdr->eth_proto) {\n \t\tcase RTE_BE16(ETHER_TYPE_IPv4):\n \t\t\t*ipv4_hdr = (struct ipv4_hdr *)(vlan_hdr + 1);\ndiff --git a/lib/librte_gro/gro_tcp4.c b/lib/librte_gro/gro_tcp4.c\nindex 7d128a431..284219517 100644\n--- a/lib/librte_gro/gro_tcp4.c\n+++ b/lib/librte_gro/gro_tcp4.c\n@@ -194,7 +194,7 @@ gro_tcp4_reassemble(struct rte_mbuf *pkt,\n \t\tstruct gro_tcp4_tbl *tbl,\n \t\tuint64_t start_time)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct ipv4_hdr *ipv4_hdr;\n \tstruct tcp_hdr *tcp_hdr;\n \tuint32_t sent_seq;\n@@ -215,7 +215,7 @@ gro_tcp4_reassemble(struct rte_mbuf *pkt,\n \tif (unlikely(INVALID_TCP_HDRLEN(pkt->l4_len)))\n \t\treturn -1;\n \n-\teth_hdr = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \tipv4_hdr = (struct ipv4_hdr *)((char *)eth_hdr + pkt->l2_len);\n \ttcp_hdr = (struct tcp_hdr *)((char *)ipv4_hdr + pkt->l3_len);\n \thdr_len = pkt->l2_len + pkt->l3_len + pkt->l4_len;\ndiff --git a/lib/librte_gro/gro_tcp4.h b/lib/librte_gro/gro_tcp4.h\nindex d97924883..988cca8c6 100644\n--- a/lib/librte_gro/gro_tcp4.h\n+++ b/lib/librte_gro/gro_tcp4.h\n@@ -24,8 +24,8 @@\n \n /* Header fields representing a TCP/IPv4 flow */\n struct tcp4_flow_key {\n-\tstruct ether_addr eth_saddr;\n-\tstruct ether_addr eth_daddr;\n+\tstruct rte_ether_addr eth_saddr;\n+\tstruct rte_ether_addr eth_daddr;\n \tuint32_t ip_src_addr;\n \tuint32_t ip_dst_addr;\n \ndiff --git a/lib/librte_gro/gro_vxlan_tcp4.c b/lib/librte_gro/gro_vxlan_tcp4.c\nindex acb9bc919..d713e3dd6 100644\n--- a/lib/librte_gro/gro_vxlan_tcp4.c\n+++ b/lib/librte_gro/gro_vxlan_tcp4.c\n@@ -289,11 +289,11 @@ gro_vxlan_tcp4_reassemble(struct rte_mbuf *pkt,\n \t\tstruct gro_vxlan_tcp4_tbl *tbl,\n \t\tuint64_t start_time)\n {\n-\tstruct ether_hdr *outer_eth_hdr, *eth_hdr;\n+\tstruct rte_ether_hdr *outer_eth_hdr, *eth_hdr;\n \tstruct ipv4_hdr *outer_ipv4_hdr, *ipv4_hdr;\n \tstruct tcp_hdr *tcp_hdr;\n \tstruct udp_hdr *udp_hdr;\n-\tstruct vxlan_hdr *vxlan_hdr;\n+\tstruct rte_vxlan_hdr *vxlan_hdr;\n \tuint32_t sent_seq;\n \tint32_t tcp_dl;\n \tuint16_t frag_off, outer_ip_id, ip_id;\n@@ -313,15 +313,15 @@ gro_vxlan_tcp4_reassemble(struct rte_mbuf *pkt,\n \tif (unlikely(INVALID_TCP_HDRLEN(pkt->l4_len)))\n \t\treturn -1;\n \n-\touter_eth_hdr = rte_pktmbuf_mtod(pkt, struct ether_hdr *);\n+\touter_eth_hdr = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \touter_ipv4_hdr = (struct ipv4_hdr *)((char *)outer_eth_hdr +\n \t\t\tpkt->outer_l2_len);\n \tudp_hdr = (struct udp_hdr *)((char *)outer_ipv4_hdr +\n \t\t\tpkt->outer_l3_len);\n-\tvxlan_hdr = (struct vxlan_hdr *)((char *)udp_hdr +\n+\tvxlan_hdr = (struct rte_vxlan_hdr *)((char *)udp_hdr +\n \t\t\tsizeof(struct udp_hdr));\n-\teth_hdr = (struct ether_hdr *)((char *)vxlan_hdr +\n-\t\t\tsizeof(struct vxlan_hdr));\n+\teth_hdr = (struct rte_ether_hdr *)((char *)vxlan_hdr +\n+\t\t\tsizeof(struct rte_vxlan_hdr));\n \tipv4_hdr = (struct ipv4_hdr *)((char *)udp_hdr + pkt->l2_len);\n \ttcp_hdr = (struct tcp_hdr *)((char *)ipv4_hdr + pkt->l3_len);\n \ndiff --git a/lib/librte_gro/gro_vxlan_tcp4.h b/lib/librte_gro/gro_vxlan_tcp4.h\nindex 0cafb9211..7832942a6 100644\n--- a/lib/librte_gro/gro_vxlan_tcp4.h\n+++ b/lib/librte_gro/gro_vxlan_tcp4.h\n@@ -12,10 +12,10 @@\n /* Header fields representing a VxLAN flow */\n struct vxlan_tcp4_flow_key {\n \tstruct tcp4_flow_key inner_key;\n-\tstruct vxlan_hdr vxlan_hdr;\n+\tstruct rte_vxlan_hdr vxlan_hdr;\n \n-\tstruct ether_addr outer_eth_saddr;\n-\tstruct ether_addr outer_eth_daddr;\n+\tstruct rte_ether_addr outer_eth_saddr;\n+\tstruct rte_ether_addr outer_eth_daddr;\n \n \tuint32_t outer_ip_src_addr;\n \tuint32_t outer_ip_dst_addr;\ndiff --git a/lib/librte_gso/rte_gso.h b/lib/librte_gso/rte_gso.h\nindex a626a11e3..433f2c8bb 100644\n--- a/lib/librte_gso/rte_gso.h\n+++ b/lib/librte_gso/rte_gso.h\n@@ -18,11 +18,11 @@ extern \"C\" {\n #include <rte_mbuf.h>\n \n /* Minimum GSO segment size for TCP based packets. */\n-#define RTE_GSO_SEG_SIZE_MIN (sizeof(struct ether_hdr) + \\\n+#define RTE_GSO_SEG_SIZE_MIN (sizeof(struct rte_ether_hdr) + \\\n \t\tsizeof(struct ipv4_hdr) + sizeof(struct tcp_hdr) + 1)\n \n /* Minimum GSO segment size for UDP based packets. */\n-#define RTE_GSO_UDP_SEG_SIZE_MIN (sizeof(struct ether_hdr) + \\\n+#define RTE_GSO_UDP_SEG_SIZE_MIN (sizeof(struct rte_ether_hdr) + \\\n \t\tsizeof(struct ipv4_hdr) + sizeof(struct udp_hdr) + 1)\n \n /* GSO flags for rte_gso_ctx. */\ndiff --git a/lib/librte_kni/rte_kni.c b/lib/librte_kni/rte_kni.c\nindex 946459c79..7a41788e2 100644\n--- a/lib/librte_kni/rte_kni.c\n+++ b/lib/librte_kni/rte_kni.c\n@@ -451,7 +451,7 @@ kni_config_mac_address(uint16_t port_id, uint8_t mac_addr[])\n \tRTE_LOG(INFO, KNI, \"Configure mac address of %d\", port_id);\n \n \tret = rte_eth_dev_default_mac_addr_set(port_id,\n-\t\t\t\t\t       (struct ether_addr *)mac_addr);\n+\t\t\t\t\t(struct rte_ether_addr *)mac_addr);\n \tif (ret < 0)\n \t\tRTE_LOG(ERR, KNI, \"Failed to config mac_addr for port %d\\n\",\n \t\t\tport_id);\ndiff --git a/lib/librte_net/rte_arp.c b/lib/librte_net/rte_arp.c\nindex 877874a5e..adda9f700 100644\n--- a/lib/librte_net/rte_arp.c\n+++ b/lib/librte_net/rte_arp.c\n@@ -9,9 +9,9 @@\n #define RARP_PKT_SIZE\t64\n struct rte_mbuf * __rte_experimental\n rte_net_make_rarp_packet(struct rte_mempool *mpool,\n-\t\tconst struct ether_addr *mac)\n+\t\tconst struct rte_ether_addr *mac)\n {\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tstruct rte_arp_hdr *rarp;\n \tstruct rte_mbuf *mbuf;\n \n@@ -22,7 +22,8 @@ rte_net_make_rarp_packet(struct rte_mempool *mpool,\n \tif (mbuf == NULL)\n \t\treturn NULL;\n \n-\teth_hdr = (struct ether_hdr *)rte_pktmbuf_append(mbuf, RARP_PKT_SIZE);\n+\teth_hdr = (struct rte_ether_hdr *)\n+\t\trte_pktmbuf_append(mbuf, RARP_PKT_SIZE);\n \tif (eth_hdr == NULL) {\n \t\trte_pktmbuf_free(mbuf);\n \t\treturn NULL;\ndiff --git a/lib/librte_net/rte_arp.h b/lib/librte_net/rte_arp.h\nindex a94fa6a00..f17c52972 100644\n--- a/lib/librte_net/rte_arp.h\n+++ b/lib/librte_net/rte_arp.h\n@@ -22,9 +22,9 @@ extern \"C\" {\n  * ARP header IPv4 payload.\n  */\n struct rte_arp_ipv4 {\n-\tstruct ether_addr arp_sha;  /**< sender hardware address */\n+\tstruct rte_ether_addr arp_sha;  /**< sender hardware address */\n \tuint32_t          arp_sip;  /**< sender IP address */\n-\tstruct ether_addr arp_tha;  /**< target hardware address */\n+\tstruct rte_ether_addr arp_tha;  /**< target hardware address */\n \tuint32_t          arp_tip;  /**< target IP address */\n } __attribute__((__packed__));\n \n@@ -65,7 +65,7 @@ struct rte_arp_hdr {\n  */\n struct rte_mbuf * __rte_experimental\n rte_net_make_rarp_packet(struct rte_mempool *mpool,\n-\t\tconst struct ether_addr *mac);\n+\t\tconst struct rte_ether_addr *mac);\n \n #ifdef __cplusplus\n }\ndiff --git a/lib/librte_net/rte_ether.h b/lib/librte_net/rte_ether.h\nindex 3a87ff184..afdbaa1a7 100644\n--- a/lib/librte_net/rte_ether.h\n+++ b/lib/librte_net/rte_ether.h\n@@ -54,7 +54,7 @@ extern \"C\" {\n  * administrator and does not contain OUIs.\n  * See http://standards.ieee.org/regauth/groupmac/tutorial.html\n  */\n-struct ether_addr {\n+struct rte_ether_addr {\n \tuint8_t addr_bytes[ETHER_ADDR_LEN]; /**< Addr bytes in tx order */\n } __attribute__((__packed__));\n \n@@ -75,8 +75,8 @@ struct ether_addr {\n  *  True  (1) if the given two ethernet address are the same;\n  *  False (0) otherwise.\n  */\n-static inline int is_same_ether_addr(const struct ether_addr *ea1,\n-\t\t\t\t     const struct ether_addr *ea2)\n+static inline int is_same_ether_addr(const struct rte_ether_addr *ea1,\n+\t\t\t\t     const struct rte_ether_addr *ea2)\n {\n \tint i;\n \tfor (i = 0; i < ETHER_ADDR_LEN; i++)\n@@ -95,7 +95,7 @@ static inline int is_same_ether_addr(const struct ether_addr *ea1,\n  *   True  (1) if the given ethernet address is filled with zeros;\n  *   false (0) otherwise.\n  */\n-static inline int is_zero_ether_addr(const struct ether_addr *ea)\n+static inline int is_zero_ether_addr(const struct rte_ether_addr *ea)\n {\n \tint i;\n \tfor (i = 0; i < ETHER_ADDR_LEN; i++)\n@@ -114,7 +114,7 @@ static inline int is_zero_ether_addr(const struct ether_addr *ea)\n  *   True  (1) if the given ethernet address is a unicast address;\n  *   false (0) otherwise.\n  */\n-static inline int is_unicast_ether_addr(const struct ether_addr *ea)\n+static inline int is_unicast_ether_addr(const struct rte_ether_addr *ea)\n {\n \treturn (ea->addr_bytes[0] & ETHER_GROUP_ADDR) == 0;\n }\n@@ -129,7 +129,7 @@ static inline int is_unicast_ether_addr(const struct ether_addr *ea)\n  *   True  (1) if the given ethernet address is a multicast address;\n  *   false (0) otherwise.\n  */\n-static inline int is_multicast_ether_addr(const struct ether_addr *ea)\n+static inline int is_multicast_ether_addr(const struct rte_ether_addr *ea)\n {\n \treturn ea->addr_bytes[0] & ETHER_GROUP_ADDR;\n }\n@@ -144,7 +144,7 @@ static inline int is_multicast_ether_addr(const struct ether_addr *ea)\n  *   True  (1) if the given ethernet address is a broadcast address;\n  *   false (0) otherwise.\n  */\n-static inline int is_broadcast_ether_addr(const struct ether_addr *ea)\n+static inline int is_broadcast_ether_addr(const struct rte_ether_addr *ea)\n {\n \tconst unaligned_uint16_t *ea_words = (const unaligned_uint16_t *)ea;\n \n@@ -162,7 +162,7 @@ static inline int is_broadcast_ether_addr(const struct ether_addr *ea)\n  *   True  (1) if the given ethernet address is a universally assigned address;\n  *   false (0) otherwise.\n  */\n-static inline int is_universal_ether_addr(const struct ether_addr *ea)\n+static inline int is_universal_ether_addr(const struct rte_ether_addr *ea)\n {\n \treturn (ea->addr_bytes[0] & ETHER_LOCAL_ADMIN_ADDR) == 0;\n }\n@@ -177,7 +177,7 @@ static inline int is_universal_ether_addr(const struct ether_addr *ea)\n  *   True  (1) if the given ethernet address is a locally assigned address;\n  *   false (0) otherwise.\n  */\n-static inline int is_local_admin_ether_addr(const struct ether_addr *ea)\n+static inline int is_local_admin_ether_addr(const struct rte_ether_addr *ea)\n {\n \treturn (ea->addr_bytes[0] & ETHER_LOCAL_ADMIN_ADDR) != 0;\n }\n@@ -193,7 +193,7 @@ static inline int is_local_admin_ether_addr(const struct ether_addr *ea)\n  *   True  (1) if the given ethernet address is valid;\n  *   false (0) otherwise.\n  */\n-static inline int is_valid_assigned_ether_addr(const struct ether_addr *ea)\n+static inline int is_valid_assigned_ether_addr(const struct rte_ether_addr *ea)\n {\n \treturn is_unicast_ether_addr(ea) && (!is_zero_ether_addr(ea));\n }\n@@ -222,8 +222,8 @@ static inline void eth_random_addr(uint8_t *addr)\n  * @param ea_to\n  *   A pointer to a ether_addr structure where to copy the Ethernet address.\n  */\n-static inline void ether_addr_copy(const struct ether_addr *ea_from,\n-\t\t\t\t   struct ether_addr *ea_to)\n+static inline void ether_addr_copy(const struct rte_ether_addr *ea_from,\n+\t\t\t\t   struct rte_ether_addr *ea_to)\n {\n #ifdef __INTEL_COMPILER\n \tuint16_t *from_words = (uint16_t *)(ea_from->addr_bytes);\n@@ -253,7 +253,7 @@ static inline void ether_addr_copy(const struct ether_addr *ea_from,\n  */\n static inline void\n ether_format_addr(char *buf, uint16_t size,\n-\t\t  const struct ether_addr *eth_addr)\n+\t\t  const struct rte_ether_addr *eth_addr)\n {\n \tsnprintf(buf, size, \"%02X:%02X:%02X:%02X:%02X:%02X\",\n \t\t eth_addr->addr_bytes[0],\n@@ -268,9 +268,9 @@ ether_format_addr(char *buf, uint16_t size,\n  * Ethernet header: Contains the destination address, source address\n  * and frame type.\n  */\n-struct ether_hdr {\n-\tstruct ether_addr d_addr; /**< Destination address. */\n-\tstruct ether_addr s_addr; /**< Source address. */\n+struct rte_ether_hdr {\n+\tstruct rte_ether_addr d_addr; /**< Destination address. */\n+\tstruct rte_ether_addr s_addr; /**< Source address. */\n \tuint16_t ether_type;      /**< Frame type. */\n } __attribute__((__packed__));\n \n@@ -279,7 +279,7 @@ struct ether_hdr {\n  * Contains the 16-bit VLAN Tag Control Identifier and the Ethernet type\n  * of the encapsulated frame.\n  */\n-struct vlan_hdr {\n+struct rte_vlan_hdr {\n \tuint16_t vlan_tci; /**< Priority (3) + CFI (1) + Identifier Code (12) */\n \tuint16_t eth_proto;/**< Ethernet type of encapsulated frame. */\n } __attribute__((__packed__));\n@@ -289,7 +289,7 @@ struct vlan_hdr {\n  * Contains the 8-bit flag, 24-bit VXLAN Network Identifier and\n  * Reserved fields (24 bits and 8 bits)\n  */\n-struct vxlan_hdr {\n+struct rte_vxlan_hdr {\n \tuint32_t vx_flags; /**< flag (8) + Reserved (24). */\n \tuint32_t vx_vni;   /**< VNI (24) + Reserved (8). */\n } __attribute__((__packed__));\n@@ -311,7 +311,7 @@ struct vxlan_hdr {\n #define ETHER_TYPE_MPLS 0x8847 /**< MPLS ethertype. */\n #define ETHER_TYPE_MPLSM 0x8848 /**< MPLS multicast ethertype. */\n \n-#define ETHER_VXLAN_HLEN (sizeof(struct udp_hdr) + sizeof(struct vxlan_hdr))\n+#define ETHER_VXLAN_HLEN (sizeof(struct udp_hdr) + sizeof(struct rte_vxlan_hdr))\n /**< VXLAN tunnel header length. */\n \n /**\n@@ -319,7 +319,7 @@ struct vxlan_hdr {\n  * Contains the 8-bit flag, 8-bit next-protocol, 24-bit VXLAN Network\n  * Identifier and Reserved fields (16 bits and 8 bits).\n  */\n-struct vxlan_gpe_hdr {\n+struct rte_vxlan_gpe_hdr {\n \tuint8_t vx_flags;    /**< flag (8). */\n \tuint8_t reserved[2]; /**< Reserved (16). */\n \tuint8_t proto;       /**< next-protocol (8). */\n@@ -336,7 +336,7 @@ struct vxlan_gpe_hdr {\n #define VXLAN_GPE_TYPE_VBNG 7 /**< vBNG Protocol. */\n \n #define ETHER_VXLAN_GPE_HLEN (sizeof(struct udp_hdr) + \\\n-\t\t\t      sizeof(struct vxlan_gpe_hdr))\n+\t\t\t      sizeof(struct rte_vxlan_gpe_hdr))\n /**< VXLAN-GPE tunnel header length. */\n \n /**\n@@ -352,19 +352,19 @@ struct vxlan_gpe_hdr {\n  */\n static inline int rte_vlan_strip(struct rte_mbuf *m)\n {\n-\tstruct ether_hdr *eh\n-\t\t = rte_pktmbuf_mtod(m, struct ether_hdr *);\n-\tstruct vlan_hdr *vh;\n+\tstruct rte_ether_hdr *eh\n+\t\t = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n+\tstruct rte_vlan_hdr *vh;\n \n \tif (eh->ether_type != rte_cpu_to_be_16(ETHER_TYPE_VLAN))\n \t\treturn -1;\n \n-\tvh = (struct vlan_hdr *)(eh + 1);\n+\tvh = (struct rte_vlan_hdr *)(eh + 1);\n \tm->ol_flags |= PKT_RX_VLAN | PKT_RX_VLAN_STRIPPED;\n \tm->vlan_tci = rte_be_to_cpu_16(vh->vlan_tci);\n \n \t/* Copy ether header over rather than moving whole packet */\n-\tmemmove(rte_pktmbuf_adj(m, sizeof(struct vlan_hdr)),\n+\tmemmove(rte_pktmbuf_adj(m, sizeof(struct rte_vlan_hdr)),\n \t\teh, 2 * ETHER_ADDR_LEN);\n \n \treturn 0;\n@@ -384,8 +384,8 @@ static inline int rte_vlan_strip(struct rte_mbuf *m)\n  */\n static inline int rte_vlan_insert(struct rte_mbuf **m)\n {\n-\tstruct ether_hdr *oh, *nh;\n-\tstruct vlan_hdr *vh;\n+\tstruct rte_ether_hdr *oh, *nh;\n+\tstruct rte_vlan_hdr *vh;\n \n \t/* Can't insert header if mbuf is shared */\n \tif (rte_mbuf_refcnt_read(*m) > 1) {\n@@ -398,16 +398,16 @@ static inline int rte_vlan_insert(struct rte_mbuf **m)\n \t\t*m = copy;\n \t}\n \n-\toh = rte_pktmbuf_mtod(*m, struct ether_hdr *);\n-\tnh = (struct ether_hdr *)\n-\t\trte_pktmbuf_prepend(*m, sizeof(struct vlan_hdr));\n+\toh = rte_pktmbuf_mtod(*m, struct rte_ether_hdr *);\n+\tnh = (struct rte_ether_hdr *)\n+\t\trte_pktmbuf_prepend(*m, sizeof(struct rte_vlan_hdr));\n \tif (nh == NULL)\n \t\treturn -ENOSPC;\n \n \tmemmove(nh, oh, 2 * ETHER_ADDR_LEN);\n \tnh->ether_type = rte_cpu_to_be_16(ETHER_TYPE_VLAN);\n \n-\tvh = (struct vlan_hdr *) (nh + 1);\n+\tvh = (struct rte_vlan_hdr *) (nh + 1);\n \tvh->vlan_tci = rte_cpu_to_be_16((*m)->vlan_tci);\n \n \t(*m)->ol_flags &= ~(PKT_RX_VLAN_STRIPPED | PKT_TX_VLAN);\ndiff --git a/lib/librte_net/rte_net.c b/lib/librte_net/rte_net.c\nindex 378a4126c..315c37c55 100644\n--- a/lib/librte_net/rte_net.c\n+++ b/lib/librte_net/rte_net.c\n@@ -229,8 +229,8 @@ uint32_t rte_net_get_ptype(const struct rte_mbuf *m,\n \tstruct rte_net_hdr_lens *hdr_lens, uint32_t layers)\n {\n \tstruct rte_net_hdr_lens local_hdr_lens;\n-\tconst struct ether_hdr *eh;\n-\tstruct ether_hdr eh_copy;\n+\tconst struct rte_ether_hdr *eh;\n+\tstruct rte_ether_hdr eh_copy;\n \tuint32_t pkt_type = RTE_PTYPE_L2_ETHER;\n \tuint32_t off = 0;\n \tuint16_t proto;\n@@ -253,8 +253,8 @@ uint32_t rte_net_get_ptype(const struct rte_mbuf *m,\n \t\tgoto l3; /* fast path if packet is IPv4 */\n \n \tif (proto == rte_cpu_to_be_16(ETHER_TYPE_VLAN)) {\n-\t\tconst struct vlan_hdr *vh;\n-\t\tstruct vlan_hdr vh_copy;\n+\t\tconst struct rte_vlan_hdr *vh;\n+\t\tstruct rte_vlan_hdr vh_copy;\n \n \t\tpkt_type = RTE_PTYPE_L2_ETHER_VLAN;\n \t\tvh = rte_pktmbuf_read(m, off, sizeof(*vh), &vh_copy);\n@@ -264,8 +264,8 @@ uint32_t rte_net_get_ptype(const struct rte_mbuf *m,\n \t\thdr_lens->l2_len += sizeof(*vh);\n \t\tproto = vh->eth_proto;\n \t} else if (proto == rte_cpu_to_be_16(ETHER_TYPE_QINQ)) {\n-\t\tconst struct vlan_hdr *vh;\n-\t\tstruct vlan_hdr vh_copy;\n+\t\tconst struct rte_vlan_hdr *vh;\n+\t\tstruct rte_vlan_hdr vh_copy;\n \n \t\tpkt_type = RTE_PTYPE_L2_ETHER_QINQ;\n \t\tvh = rte_pktmbuf_read(m, off + sizeof(*vh), sizeof(*vh),\n@@ -402,8 +402,8 @@ uint32_t rte_net_get_ptype(const struct rte_mbuf *m,\n \t}\n \n \tif (proto == rte_cpu_to_be_16(ETHER_TYPE_VLAN)) {\n-\t\tconst struct vlan_hdr *vh;\n-\t\tstruct vlan_hdr vh_copy;\n+\t\tconst struct rte_vlan_hdr *vh;\n+\t\tstruct rte_vlan_hdr vh_copy;\n \n \t\tpkt_type &= ~RTE_PTYPE_INNER_L2_MASK;\n \t\tpkt_type |= RTE_PTYPE_INNER_L2_ETHER_VLAN;\n@@ -414,8 +414,8 @@ uint32_t rte_net_get_ptype(const struct rte_mbuf *m,\n \t\thdr_lens->inner_l2_len += sizeof(*vh);\n \t\tproto = vh->eth_proto;\n \t} else if (proto == rte_cpu_to_be_16(ETHER_TYPE_QINQ)) {\n-\t\tconst struct vlan_hdr *vh;\n-\t\tstruct vlan_hdr vh_copy;\n+\t\tconst struct rte_vlan_hdr *vh;\n+\t\tstruct rte_vlan_hdr vh_copy;\n \n \t\tpkt_type &= ~RTE_PTYPE_INNER_L2_MASK;\n \t\tpkt_type |= RTE_PTYPE_INNER_L2_ETHER_QINQ;\ndiff --git a/lib/librte_pipeline/rte_table_action.c b/lib/librte_pipeline/rte_table_action.c\nindex 9a65f3ded..1e85cc9cf 100644\n--- a/lib/librte_pipeline/rte_table_action.c\n+++ b/lib/librte_pipeline/rte_table_action.c\n@@ -438,7 +438,7 @@ encap_cfg_check(struct rte_table_action_encap_config *encap)\n }\n \n struct encap_ether_data {\n-\tstruct ether_hdr ether;\n+\tstruct rte_ether_hdr ether;\n } __attribute__((__packed__));\n \n #define VLAN(pcp, dei, vid)                                \\\n@@ -447,14 +447,14 @@ struct encap_ether_data {\n \t(((uint64_t)(vid)) & 0xFFFLLU))                    \\\n \n struct encap_vlan_data {\n-\tstruct ether_hdr ether;\n-\tstruct vlan_hdr vlan;\n+\tstruct rte_ether_hdr ether;\n+\tstruct rte_vlan_hdr vlan;\n } __attribute__((__packed__));\n \n struct encap_qinq_data {\n-\tstruct ether_hdr ether;\n-\tstruct vlan_hdr svlan;\n-\tstruct vlan_hdr cvlan;\n+\tstruct rte_ether_hdr ether;\n+\tstruct rte_vlan_hdr svlan;\n+\tstruct rte_vlan_hdr cvlan;\n } __attribute__((__packed__));\n \n #define ETHER_TYPE_MPLS_UNICAST                            0x8847\n@@ -468,7 +468,7 @@ struct encap_qinq_data {\n \t(((uint64_t)(ttl)) & 0xFFLLU)))\n \n struct encap_mpls_data {\n-\tstruct ether_hdr ether;\n+\tstruct rte_ether_hdr ether;\n \tuint32_t mpls[RTE_TABLE_ACTION_MPLS_LABELS_MAX];\n \tuint32_t mpls_count;\n } __attribute__((__packed__));\n@@ -483,46 +483,46 @@ struct pppoe_ppp_hdr {\n } __attribute__((__packed__));\n \n struct encap_pppoe_data {\n-\tstruct ether_hdr ether;\n+\tstruct rte_ether_hdr ether;\n \tstruct pppoe_ppp_hdr pppoe_ppp;\n } __attribute__((__packed__));\n \n #define IP_PROTO_UDP                                       17\n \n struct encap_vxlan_ipv4_data {\n-\tstruct ether_hdr ether;\n+\tstruct rte_ether_hdr ether;\n \tstruct ipv4_hdr ipv4;\n \tstruct udp_hdr udp;\n-\tstruct vxlan_hdr vxlan;\n+\tstruct rte_vxlan_hdr vxlan;\n } __attribute__((__packed__));\n \n struct encap_vxlan_ipv4_vlan_data {\n-\tstruct ether_hdr ether;\n-\tstruct vlan_hdr vlan;\n+\tstruct rte_ether_hdr ether;\n+\tstruct rte_vlan_hdr vlan;\n \tstruct ipv4_hdr ipv4;\n \tstruct udp_hdr udp;\n-\tstruct vxlan_hdr vxlan;\n+\tstruct rte_vxlan_hdr vxlan;\n } __attribute__((__packed__));\n \n struct encap_vxlan_ipv6_data {\n-\tstruct ether_hdr ether;\n+\tstruct rte_ether_hdr ether;\n \tstruct ipv6_hdr ipv6;\n \tstruct udp_hdr udp;\n-\tstruct vxlan_hdr vxlan;\n+\tstruct rte_vxlan_hdr vxlan;\n } __attribute__((__packed__));\n \n struct encap_vxlan_ipv6_vlan_data {\n-\tstruct ether_hdr ether;\n-\tstruct vlan_hdr vlan;\n+\tstruct rte_ether_hdr ether;\n+\tstruct rte_vlan_hdr vlan;\n \tstruct ipv6_hdr ipv6;\n \tstruct udp_hdr udp;\n-\tstruct vxlan_hdr vxlan;\n+\tstruct rte_vxlan_hdr vxlan;\n } __attribute__((__packed__));\n \n struct encap_qinq_pppoe_data {\n-\tstruct ether_hdr ether;\n-\tstruct vlan_hdr svlan;\n-\tstruct vlan_hdr cvlan;\n+\tstruct rte_ether_hdr ether;\n+\tstruct rte_vlan_hdr svlan;\n+\tstruct rte_vlan_hdr cvlan;\n \tstruct pppoe_ppp_hdr pppoe_ppp;\n } __attribute__((__packed__));\n \n@@ -997,13 +997,13 @@ pkt_work_encap_vxlan_ipv4(struct rte_mbuf *mbuf,\n \n \tether_length = (uint16_t)mbuf->pkt_len;\n \tipv4_total_length = ether_length +\n-\t\t(sizeof(struct vxlan_hdr) +\n+\t\t(sizeof(struct rte_vxlan_hdr) +\n \t\tsizeof(struct udp_hdr) +\n \t\tsizeof(struct ipv4_hdr));\n \tipv4_hdr_cksum = encap_vxlan_ipv4_checksum_update(vxlan_tbl->ipv4.hdr_checksum,\n \t\trte_htons(ipv4_total_length));\n \tudp_length = ether_length +\n-\t\t(sizeof(struct vxlan_hdr) +\n+\t\t(sizeof(struct rte_vxlan_hdr) +\n \t\tsizeof(struct udp_hdr));\n \n \tvxlan_pkt = encap(ether, vxlan_tbl, sizeof(*vxlan_tbl));\n@@ -1027,13 +1027,13 @@ pkt_work_encap_vxlan_ipv4_vlan(struct rte_mbuf *mbuf,\n \n \tether_length = (uint16_t)mbuf->pkt_len;\n \tipv4_total_length = ether_length +\n-\t\t(sizeof(struct vxlan_hdr) +\n+\t\t(sizeof(struct rte_vxlan_hdr) +\n \t\tsizeof(struct udp_hdr) +\n \t\tsizeof(struct ipv4_hdr));\n \tipv4_hdr_cksum = encap_vxlan_ipv4_checksum_update(vxlan_tbl->ipv4.hdr_checksum,\n \t\trte_htons(ipv4_total_length));\n \tudp_length = ether_length +\n-\t\t(sizeof(struct vxlan_hdr) +\n+\t\t(sizeof(struct rte_vxlan_hdr) +\n \t\tsizeof(struct udp_hdr));\n \n \tvxlan_pkt = encap(ether, vxlan_tbl, sizeof(*vxlan_tbl));\n@@ -1057,10 +1057,10 @@ pkt_work_encap_vxlan_ipv6(struct rte_mbuf *mbuf,\n \n \tether_length = (uint16_t)mbuf->pkt_len;\n \tipv6_payload_length = ether_length +\n-\t\t(sizeof(struct vxlan_hdr) +\n+\t\t(sizeof(struct rte_vxlan_hdr) +\n \t\tsizeof(struct udp_hdr));\n \tudp_length = ether_length +\n-\t\t(sizeof(struct vxlan_hdr) +\n+\t\t(sizeof(struct rte_vxlan_hdr) +\n \t\tsizeof(struct udp_hdr));\n \n \tvxlan_pkt = encap(ether, vxlan_tbl, sizeof(*vxlan_tbl));\n@@ -1083,10 +1083,10 @@ pkt_work_encap_vxlan_ipv6_vlan(struct rte_mbuf *mbuf,\n \n \tether_length = (uint16_t)mbuf->pkt_len;\n \tipv6_payload_length = ether_length +\n-\t\t(sizeof(struct vxlan_hdr) +\n+\t\t(sizeof(struct rte_vxlan_hdr) +\n \t\tsizeof(struct udp_hdr));\n \tudp_length = ether_length +\n-\t\t(sizeof(struct vxlan_hdr) +\n+\t\t(sizeof(struct rte_vxlan_hdr) +\n \t\tsizeof(struct udp_hdr));\n \n \tvxlan_pkt = encap(ether, vxlan_tbl, sizeof(*vxlan_tbl));\n@@ -1133,7 +1133,7 @@ pkt_work_encap(struct rte_mbuf *mbuf,\n \tcase 1LLU << RTE_TABLE_ACTION_ENCAP_MPLS:\n \t{\n \t\tstruct encap_mpls_data *mpls = data;\n-\t\tsize_t size = sizeof(struct ether_hdr) +\n+\t\tsize_t size = sizeof(struct rte_ether_hdr) +\n \t\t\tmpls->mpls_count * 4;\n \n \t\tencap(ip, data, size);\ndiff --git a/lib/librte_pipeline/rte_table_action.h b/lib/librte_pipeline/rte_table_action.h\nindex cf6eeaa30..ef45a3023 100644\n--- a/lib/librte_pipeline/rte_table_action.h\n+++ b/lib/librte_pipeline/rte_table_action.h\n@@ -387,8 +387,8 @@ enum rte_table_action_encap_type {\n \n /** Pre-computed Ethernet header fields for encapsulation action. */\n struct rte_table_action_ether_hdr {\n-\tstruct ether_addr da; /**< Destination address. */\n-\tstruct ether_addr sa; /**< Source address. */\n+\tstruct rte_ether_addr da; /**< Destination address. */\n+\tstruct rte_ether_addr sa; /**< Source address. */\n };\n \n /** Pre-computed VLAN header fields for encapsulation action. */\ndiff --git a/lib/librte_vhost/vhost.h b/lib/librte_vhost/vhost.h\nindex e9138dfab..d49c3b8a9 100644\n--- a/lib/librte_vhost/vhost.h\n+++ b/lib/librte_vhost/vhost.h\n@@ -308,7 +308,7 @@ struct virtio_net {\n \tuint64_t\t\tlog_size;\n \tuint64_t\t\tlog_base;\n \tuint64_t\t\tlog_addr;\n-\tstruct ether_addr\tmac;\n+\tstruct rte_ether_addr\tmac;\n \tuint16_t\t\tmtu;\n \n \tstruct vhost_device_ops const *notify_ops;\ndiff --git a/lib/librte_vhost/virtio_net.c b/lib/librte_vhost/virtio_net.c\nindex a6a33a101..f97ec76f9 100644\n--- a/lib/librte_vhost/virtio_net.c\n+++ b/lib/librte_vhost/virtio_net.c\n@@ -969,18 +969,19 @@ parse_ethernet(struct rte_mbuf *m, uint16_t *l4_proto, void **l4_hdr)\n \tstruct ipv4_hdr *ipv4_hdr;\n \tstruct ipv6_hdr *ipv6_hdr;\n \tvoid *l3_hdr = NULL;\n-\tstruct ether_hdr *eth_hdr;\n+\tstruct rte_ether_hdr *eth_hdr;\n \tuint16_t ethertype;\n \n-\teth_hdr = rte_pktmbuf_mtod(m, struct ether_hdr *);\n+\teth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n \n-\tm->l2_len = sizeof(struct ether_hdr);\n+\tm->l2_len = sizeof(struct rte_ether_hdr);\n \tethertype = rte_be_to_cpu_16(eth_hdr->ether_type);\n \n \tif (ethertype == ETHER_TYPE_VLAN) {\n-\t\tstruct vlan_hdr *vlan_hdr = (struct vlan_hdr *)(eth_hdr + 1);\n+\t\tstruct rte_vlan_hdr *vlan_hdr =\n+\t\t\t(struct rte_vlan_hdr *)(eth_hdr + 1);\n \n-\t\tm->l2_len += sizeof(struct vlan_hdr);\n+\t\tm->l2_len += sizeof(struct rte_vlan_hdr);\n \t\tethertype = rte_be_to_cpu_16(vlan_hdr->eth_proto);\n \t}\n \n",
    "prefixes": [
        "03/15"
    ]
}